半导体设备行业深度报告一:工欲善其事必先利其器,国产替代正当时

如果无法正常显示,请先停止浏览器的去广告插件。
分享至:
1. [Table_Main] 证券研究报告 | 行业深度报告 2021 年 01 月 06 日 其它专用机械 中性(首次) 半导体设备行业深度报告一 工欲善其事必先利其器,国产替代正当时 证券分析师 [Table_Summary] 冯俊 资格编号:S0120520080001 电话:021-68761616-6361 邮箱:fengjun@tebon.com.cn 投资要点:  全球半导体设备支出进入上升周期。5G、物联网、大数据、人工智能以及汽车电 子等新技术和新产品的应用,将带来庞大的半导体市场需求,行业将进入新一轮的 上升周期。半导体设备位于产业链的上游,其市场规模随着下游半导体的技术发展 和市场需求而波动。根据 SEMI 预测,2020 年全球半导体设备市场规模达创纪录 的 689 亿美元,同比增长 16%,2021 年将达 719 亿美元,同比增长 4.4%,2022 年仍旧保持增长态势,市场将达 761 亿美元,同比增长 5.8%。  半导体产业向中国转移,中国成为最大半导体设备市场。中国凭借低劳动力成本的 优势,不断引进半导体产业先进技术,加大半导体产业人才培养,逐步承接了半导 体低端封测和晶圆制造业务。随着全球电子化进程的开展,下游产业快速发展,不 断推动中国半导体产业持续兴旺。中国大陆半导体设备市场规模在全球的占比逐年 提升,SEMI 预计 2020 年中国大陆半导体设备市场规模将达 181 亿美元,同比增 长 34.6%,成为全球最大的半导体设备市场。在 2020 年晶圆厂密集的资本支出之 后,SEMI 预计中国大陆 2021 年半导体设备市场将小幅回落,市场规模为 168 亿 美元,同比下降 7%。  半导体设备市场为海外厂商垄断,国产设备企业奋起直追。2019 年国产半导体设 备销售额为 161.82 亿元,中国大陆 2019 年半导体设备市场规模 134.5 亿美元, 国产化率约 17%,具备较大国产替代空间。在当前美国持续加强技术和设备封锁 的情况下,半导体设备国产替代步伐正在加快。国产设备企业在政策和资金大力支 持下,在刻蚀、薄膜沉积、测试等多个领域不断取得突破。  国产刻蚀设备、薄膜沉积设备和测试设备有望成为半导体设备国产化先锋。中微公 司和北方华创分别在 CCP 和 ICP 刻蚀设备领域取得突破,部分产品已进入先进制 程生产线验证;北方华创在 PVD 领域实现了国产高端薄膜制备设备零的突破,设 备覆盖了 90-14nm 多个制程,沈阳拓荆 CVD 设备成功进入长江存储生产线。华峰 测控模拟测试机国内市占率已达 60%,后续 SOC 项目推进可能为公司带来新的增 长空间。  风险提示:下游晶圆厂资本支出不及预期;研发进度不及预期;美国加强技术封锁 联系人 陈桑田 邮箱:chen_st@tebon.com.cn 市场表现 其它专用机械 61% 49% 37% 24% 12% 0% -12% -24% 2020-01 2020-05 沪深300 2020-09 相关研究 1.《Q1 业绩平稳向好,前装市场不 断开拓-艾迪精密(603638.SH)》, 2020.5.1 2.《经营业绩快速增长,产品渗透率 不断提升-艾迪精密(603638.SH)》, 2020.4.30 行业相关股票 股票 股票 代码 名称 002371.SZ 北方华创 688012.SH 中微公司 688200.SH 华峰测控 2019 0.63 0.35 2.22 EPS 2020E 0.99 0.59 3.00 2021E 1.45 0.76 4.28 2019 304.95 445.71 168.39 PE 2020E 194.06 264.41 124.61 2021E 132.49 205.26 87.34 投资 评级 上期 本期 增持 增持 / / / / 资料来源:德邦研究所(中微公司、华峰测控预测为 WIND 一致性预测,截至时间为 2021.01.06) 请务必阅读正文之后的信息披露和法律声明
2. 行业深度报告 内容目录 1. 半导体产业链解析 ....................................................................................................... 7 1.1. 半导体产业运作的两种模式:IDM 和垂直分工模式 ............................................ 7 1.2. 硅片制造 ............................................................................................................. 8 1.3. 晶圆制造 ........................................................................................................... 10 1.3.1. 热处理工艺 ............................................................................................... 11 1.3.2. 光刻工艺 ................................................................................................... 11 1.3.3. 刻蚀工艺 ................................................................................................... 14 1.3.4. 离子注入工艺 ............................................................................................ 17 1.3.5. 薄膜沉积工艺 ............................................................................................ 18 1.3.6. 化学机械研磨工艺 .................................................................................... 22 1.3.7. 清洗 .......................................................................................................... 22 1.4. 测试与封装 ........................................................................................................ 23 1.4.1. 测试 .......................................................................................................... 23 1.4.2. 封装 .......................................................................................................... 25 2. 产业格局不断变化,中国或将成为产业重心 ............................................................. 26 2.1. 行业进入新一轮上升周期 .................................................................................. 26 2.2. 全球产业转移,中国市场高速成长.................................................................... 26 3. 半导体设备市场再创新高,国产化替代空间广阔 ...................................................... 30 3.1. 全球半导体设备市场或超 710 亿美元 ............................................................... 30 3.2. 细分市场高度集中,海外龙头处于垄断地位 ..................................................... 31 3.3. 国内半导体设备市场将超千亿,国产替代空间广阔 .......................................... 35 3.4. 政策资金双轮驱动,助力半导体设备国产化 ..................................................... 36 3.5. 国产设备厂商奋起直追,设备国产化进行时 ..................................................... 38 3.5.1. 平台型国产半导体设备龙头:北方华创 .................................................... 38 3.5.2. 国产刻蚀设备的先行者:中微公司 ........................................................... 39 3.5.3. 深耕测试机领域的国产设备商:华峰测控 ................................................ 40 4. 行业评级 .................................................................................................................... 43 5. 风险提示 .................................................................................................................... 44 2 / 45 请务必阅读正文之后的信息披露和法律声明
3. 行业深度报告 图表目录 图 1:全球半导体市场规模(亿美元) ............................................................................ 7 图 2:半导体产业链 ......................................................................................................... 7 图 3:半导体产业两种运作模式代表企业 ......................................................................... 8 图 4:直拉单晶制造法 ...................................................................................................... 9 图 5:CZ 单晶炉结构示意图 ............................................................................................ 9 图 6:半导体单晶硅棒拉晶设备 ....................................................................................... 9 图 7:晶盛机电硅片加工设备产品矩阵 .......................................................................... 10 图 8:晶圆制造流程 ....................................................................................................... 10 图 9:北方华创 THEORIS 立式氧化炉 .......................................................................... 11 图 10:长江存储热处理设备中标比例 ............................................................................ 11 图 11:光刻工艺流程图 .................................................................................................. 12 图 12:ASML EUV 光刻机 ............................................................................................. 12 图 13:2019 年各类光刻机销售情况 .............................................................................. 12 图 14:上海微电子发展历程 .......................................................................................... 13 图 15:国内涂胶显影设备市场格局................................................................................ 14 图 16:芯源微涂胶显影机 .............................................................................................. 14 图 17:湿法刻蚀原理图 .................................................................................................. 14 图 18:等离子刻蚀原理图 .............................................................................................. 14 图 19:具有多晶硅栅和铝金属化 CMOS 芯片刻蚀工艺 ................................................. 15 图 20:电容性等离子体刻蚀反应腔................................................................................ 15 图 21:电感性等离子体刻蚀反应腔................................................................................ 15 图 22:原子层刻蚀工艺 .................................................................................................. 15 图 23:刻蚀设备反应腔 .................................................................................................. 16 图 24:全球刻蚀设备市场格局 ....................................................................................... 16 图 25:国内刻蚀设备生产商 .......................................................................................... 16 图 26:离子注入机示意图 .............................................................................................. 17 图 27:离子注入机细分市场格局 ................................................................................... 17 图 28:Varian VIISta 900 离子注入机 ............................................................................ 18 图 29:IC 集成电路离子注入机市场格局 ....................................................................... 18 图 30:CVD 与 PVD 工艺比较 ....................................................................................... 18 图 31:化学薄膜沉积工艺过程 ....................................................................................... 18 图 32:三种 CVD 系统示意图 ........................................................................................ 19 3 / 45 请务必阅读正文之后的信息披露和法律声明
4. 行业深度报告 图 33:全球 CVD 设备市场格局..................................................................................... 19 图 34:各类 CVD 设备占比 ............................................................................................ 19 图 35:北方华创 LPCVD 设备 ....................................................................................... 20 图 36:沈阳拓荆 12 英寸 PECVD 设备 PF-300T ........................................................... 20 图 37:北方华创 Polaris A 系列 ALD 设备 .................................................................... 20 图 38:沈阳拓荆 12 英寸 ALD 设备 FT-300T ................................................................. 20 图 39:电子束蒸镀示意图 .............................................................................................. 21 图 40:溅镀系统示意图 .................................................................................................. 21 图 41:AMAT PVD 设备 ................................................................................................. 21 图 42:全球 PVD 设备市场格局 ..................................................................................... 21 图 43:CMP 工艺在集成电路中的应用 .......................................................................... 22 图 44:CMP 系统示意图 ................................................................................................ 22 图 45:全球清洗设备市场格局 ....................................................................................... 23 图 46:盛美单片槽式组合清洗设备................................................................................ 23 图 47:半导体测试在产业中的应用................................................................................ 23 图 48:泰瑞达 Magnum 2 存储器测试机 ....................................................................... 24 图 49:全球半导体测试机格局 ....................................................................................... 24 图 50:国内测试机分类占比 .......................................................................................... 24 图 51:国内模拟测试机市场格局 ................................................................................... 24 图 52:TEL Precio™XL 探针台 ..................................................................................... 25 图 53:全球探针台市场格局 .......................................................................................... 25 图 54:全球半导体销售额 .............................................................................................. 26 图 55:全球半导体销售额(亿美元) ............................................................................ 27 图 56:中国半导体销售额(亿美元) ............................................................................ 27 图 57:我国集成电路进出口数量 ................................................................................... 28 图 58:我国芯片设计企业数量 ....................................................................................... 28 图 59:我国芯片设计企业销售额 ................................................................................... 28 图 60:全球半导体设备销售额 ....................................................................................... 30 图 61:2020 年半导体设备市场结构(不包括硅片制造设备) ...................................... 30 图 62:2020 年各地区半导体设备销售额 ....................................................................... 30 图 63:全球各地区半导体设备市场规模(亿美元) ...................................................... 31 图 64:2018 年全球半导体设备市场格局 ....................................................................... 31 图 65:2019 年全球半导体设备市场格局 ....................................................................... 31 4 / 45 请务必阅读正文之后的信息披露和法律声明
5. 行业深度报告 图 66:半导体设备投资占比 .......................................................................................... 32 图 67:晶圆制造设备投资占比 ....................................................................................... 32 图 68:全球光刻机销售数量(台)................................................................................ 32 图 69:ASML 营业收入情况 .......................................................................................... 33 图 70:ASML 毛利率及净利率变化情况 ........................................................................ 33 图 71:ASML 研发费用情况 .......................................................................................... 34 图 72:泛林半导体营业收入 .......................................................................................... 34 图 73:2020 年应用材料营收业务分布 .......................................................................... 35 图 74:2020 年应用材料营收地区分布 .......................................................................... 35 图 75:应用材料营业收入 .............................................................................................. 35 图 76:中国大陆半导体设备市场规模 ............................................................................ 36 图 77:2019 年中国大陆半导体设备国产化率 ............................................................... 36 图 78:2019 年国产集成电路设备企业 TOP5 ................................................................ 36 图 79:北方华创营业收入 .............................................................................................. 39 图 80:北方华创归母净利润 .......................................................................................... 39 图 81:中微公司 Primo HD-RIE 系列 CCP 刻蚀机 ........................................................ 40 图 82:中微公司 Primo nanova 系列 ICP 刻蚀机 .......................................................... 40 图 83:中微公司营业收入 .............................................................................................. 40 图 84:中微公司归母净利润 .......................................................................................... 40 图 85:华峰测控 STS8200 测试机 ................................................................................. 41 图 86:2019 年华峰测控业务构成 ................................................................................. 41 图 87:华峰测控营业收入 .............................................................................................. 41 图 88:华峰测控归母净利润 .......................................................................................... 41 图 89:华峰测控盈利水平 .............................................................................................. 41 图 90:华峰测控研发费用 .............................................................................................. 41 图 91:半导体设备行业市盈率(截至 2021.01.05) ..................................................... 43 图 92:2019 年至今半导体设备行业指数和上证综合指数收益率对比(截至 2021.01.05) ................................................................................................................. 43 表 1:半导体产业运作模式对比 ....................................................................................... 8 表 2:半导体硅片制造工艺简介 ....................................................................................... 9 表 3:硅片制造相关设备主要生产商 .............................................................................. 10 表 4:氧化工艺的用途 .................................................................................................... 11 5 / 45 请务必阅读正文之后的信息披露和法律声明
6. 行业深度报告 表 5:光刻工艺流程简介 ................................................................................................ 12 表 6:上海微电子光刻机产品参数.................................................................................. 13 表 7:华卓精科光刻机双工件台产品 .............................................................................. 13 表 8:湿法刻蚀和干法刻蚀对比 ..................................................................................... 14 表 9:中微公司各关键尺寸的刻蚀应用情况 ................................................................... 16 表 10:北方华创集成电路刻蚀机产品 ............................................................................ 17 表 11:离子注入与扩散工艺比较 ................................................................................... 17 表 12:三种 CVD 工艺对比 ............................................................................................ 19 表 13:蒸发和溅镀 PVD 工艺对比 ................................................................................. 20 表 14:我国 PVD 工艺进展情况 ..................................................................................... 21 表 15:半导体清洗的污染物种类、来源及危害 ............................................................. 23 表 16:测试机发展历史 .................................................................................................. 24 表 17:不同种类分选机比较 .......................................................................................... 25 表 18:2019 年全球晶圆产能 TOP5 .............................................................................. 27 表 19:2020 年第三季度全球封测厂排名 ....................................................................... 28 表 20:2020 年第四季度全球前十大晶圆代工厂营收排名 ............................................. 29 表 21:中国大陆在建及规划晶圆厂情况 ........................................................................ 29 表 22:全球主要半导体设备厂商 ................................................................................... 32 表 23:泛林半导体刻蚀设备发展历史 ............................................................................ 34 表 24:我国半导体产业政策梳理 ................................................................................... 36 表 25:《新时期促进集成电路产业和软件产业高质量发展的若干政策》旧财税政策变化 ....................................................................................................................................... 37 表 26:《新时期促进集成电路产业和软件产业高质量发展的若干政策》新增财税政策 37 表 27:国家集成电路产业基金一期投资领域 ................................................................. 37 表 28:国内外半导体设备公司对比(截至 2021 年 1 月 6 日) ..................................... 38 表 29:北方华创承担国家重大科技专项 ........................................................................ 39 表 30:华峰测控募投项目(万元)................................................................................ 42 6 / 45 请务必阅读正文之后的信息披露和法律声明
7. 行业深度报告 1. 半导体产业链解析 半导体指常温下导电性能介于导体与绝缘体之间的材料。半导体产品按照功 能区分可以分为集成电路、光电子器件、分立器件和传感器等四大类。其中集成 电路是半导体产业的核心,根据 WSTS 数据,2020 年集成电路市场规模占到了 半导体市场的 82%。 图 1:全球半导体市场规模(亿美元) 5,000 分立器件 4,500 光电子 传感器 集成电路 4,000 3,500 3,000 2,500 2,000 1,500 1,000 500 0 资料来源:WSTS,德邦研究所 半导体产业链可按照主要生产过程进行划分,整体可分为上游中游下游。以 半导体中占比最高的集成电路产业为例,上游包括半导体材料、生产设备、 EDA、 IP 核。EDA,即电子设计自动化(Electronics Design Automation),主要包括设 计工具和设计软件。IP 核(Intellectual Property Core)提供已经完成逻辑设计或 物理设计的芯片功能模块,通过授权允许客户将其集成在 IC 设计中。中游包括设 计、制造、封测三大环节。下游主要为半导体应用,主要包括 3C 电子、医疗、通 信、物联网、信息安全、汽车、新能源、工业等。 图 2:半导体产业链 资料来源:电子发烧友,德邦研究所 1.1. 半导体产业运作的两种模式:IDM 和垂直分工模式 半导体产业运作主要有两种模式,即 IDM 模式和垂直分工模式。如前文所述, 半导体整个制造过程主要包括芯片设计、晶圆制造和封装测试三大环节。所谓 IDM (Integrated Device Manufacture)模式,即由一个厂商独立完成芯片设计、制造 和封装三大环节,英特尔和三星是全球最具代表性的 IDM 企业。另一种模式为垂 直分工模式,即 Fabless (无晶圆制造的设计公司) +Foundry (晶圆代工厂) +OSAT 7 / 45 请务必阅读正文之后的信息披露和法律声明
8. 行业深度报告 (封装测试企业) ,Fabless 是指专注于芯片设计业务,只负责芯片的电路设计与 销售,将生产、测试、封装等环节外包的设计企业,代表企业有高通、英伟达、 AMD 等;Foundry 即晶圆代工厂,指只负责制造、封测的一个或多个环节,不负 责芯片设计,可以同时为多家设计公司提供服务的企业,代表企业有台积电、中 芯国际等。OSAT 指专门从事半导体封装和测试的企业。 图 3:半导体产业两种运作模式代表企业 资料来源:德邦研究所整理 在台积电成立以前,半导体行业只有 IDM 一种模式。IDM 模式的优势在于资 源的内部整合优势,以及具有较高的利润率。 IDM 模式贯穿整个半导体生产流程, 不存在工艺流程对接问题,新产品从开发到面市的时间较短,且因为覆盖前端的 IC 设计和末端的品牌营销环节,具有较高的利润率水平。但其公司规模庞大、管 理成本和运营费用较高,同时半导体生产需要庞大的资本支出,使得行业内只有 极大的几家 IDM 企业能够生存。 表 1:半导体产业运作模式对比 模式 IDM 特点 优势 集设计、制造、封装和测 试等多个产业链环节于一 身 劣势 设计、制造等环节协同优 化,有助于充分发掘技术潜 力;能有条件率先实验并推 行新的半导体技术 资产较轻,初始投资规模 小,创业难度相对较小;企 业运行费用较低,转型相对 灵活 代表企业 公司规模庞大,管理成本较 英特尔、三星、 高;运营费用较高,资本回 德州仪器 报率偏低。 与 IDM 相比无法与工艺协同 优化,因此难以完成指标严 高通、博通、英 苛的设计;与 Foundry 相比 伟达、AMD 需要承担各种市场风险 只负责制造、封装或测试 投资规模较大,维持生产线 的其中一个环节;不负责 不承担由于市场调研不准、 正常运作费用较高;需要持 台积电、中芯国 Foundry 芯片设计;可以同时为多 产品设计缺陷等决策风险 续投入维持工艺水平,一旦 际、格罗方德 家设计公司提供服务 落后追赶难度较大 只负责芯片的电路设计与 Fabless 销售;将生产、测试、封 装等环节外包。 资料来源:电子发烧友,德邦研究所 半导体制造业具有明显的规模经济效应,扩大规模可以显著降低单位产品的 成本,提高企业竞争力,降低产品价格,垂直分工模式应运而生。一方面,垂直分 工模式使得 Fabless 投资规模较小,运行费用较低,因此涌现出了大量的优质的 芯片设计企业。另一方面,Foundry 能够最大化的利用产能,提高资本支出的收 益率。但垂直分工模式可能会因芯片设计和生产无法顺利协同,导致芯片从设计 到面市的时间过长,给芯片设计厂商造成损失。 1.2. 硅片制造 半导体设备主要应用在半导体产业链中的晶圆制造和封装测试环节。硅片制 造是半导体制造的第一大环节,硅片制造主要通过硅料提纯、拉晶、整型、切片、 研磨、刻蚀、抛光、清洗等工艺将硅料制造成硅片,然后提供给晶圆加工厂。 8 / 45 请务必阅读正文之后的信息披露和法律声明
9. 行业深度报告 表 2:半导体硅片制造工艺简介 步骤 描述 硅料提纯 将天然硅磨成很细的粉末,然后放入反应炉中与氯化氢和氢气经过一系列反应,得到电子级的硅 料。 晶体生长 将电子级硅与单晶硅籽晶一起融化,通过克洛斯基(CZ)法提拉出所需要的单晶硅棒。 整型 将单晶硅棒两边的末端切除,并对硅棒侧面进行研磨,然后再晶棒侧面磨出平边或缺口标识其晶格 方向。 切片 将整型后的硅棒进行切片形成晶圆。 研磨和倒角 利用机械方式将晶圆边缘磨光,然后对晶圆使用传统研磨料进行粗磨抛光。 刻蚀 利用湿法刻蚀出去锯切过程,研磨过程造成的粒子和损伤。 CMP 抛光 对晶圆进行化学机械抛光,提升晶圆表明的平整度。 清洗 利用酸和氧化物混合物去除有机和无机的污染物和粒子。 检测和包装 对加工好的硅片进行相应的检测,确定符合标准后,进行包装。 资料来源:《半导体制造技术导论》,德邦研究所 半导体工业中有两种常用方法生产单晶硅,即直拉单晶制造法(CZ 法)和悬 浮区熔法(FZ 法) 。CZ 法是硅片制造常用的方法,它较 FZ 法有较多优点,例如 只有 CZ 法能够做出直径大于 200mm 的晶圆,并且它的价格较为便宜。CZ 法的 原理是将多晶硅硅料置于坩埚中,使用射频或电阻加热线圈加热熔化,待温度超 过硅的熔点温度后,将籽晶浸入、熔接、引晶、放肩、转肩等径等步骤,完成一根 单晶硅棒的拉制。 图 4:直拉单晶制造法 资料来源:SUMCO 官网,德邦研究所 图 5:CZ 单晶炉结构示意图 图 6:半导体单晶硅棒拉晶设备 资料来源:SUMCO 官网,德邦研究所 资料来源:Ferrotec 官网,德邦研究所 单晶生长炉是生产单晶硅的主要半导体设备。目前全球的单晶生长炉主要由 9 / 45 请务必阅读正文之后的信息披露和法律声明
10. 行业深度报告 美国 Kayex、德国 PVA TePla、日本 Ferrotec 等企业供应,国内的单晶生长炉企 业主要包括晶盛机电、南京晶能、连城数控等。 图 7:晶盛机电硅片加工设备产品矩阵 资料来源:晶盛机电官网,德邦研究所 单晶硅棒完成后,还需要经过一系列加工才能得到硅片成品,主要涉及的半 导体设备有切片机、研磨机、湿法刻蚀机、清洗机、抛光机和量测机。目前上述硅 片加工设备主要由日本、德国和美国厂商提供,国内仅有晶盛机电等少数厂家推 出了部分硅片加工设备,市场占有率较低。 表 3:硅片制造相关设备主要生产商 设备 主要生产厂家 单晶生长炉 美国 Kayex、德国 PVA TePla、日本 Ferrotec、晶盛机电、南京晶能、连城数控 切片机 东京精密、瑞士 HCT、中电科 45 所、晶盛机电 研磨机 东京精密、冈本机械、晶盛机电 清洗机 日本 DNS、盛美半导体、北方华创 CMP 抛光机 东京精密、华海清科、晶盛机电 量测机 日本 Advantest、美国 MTI、韩国 Fortix、中科飞测、长川科技 资料来源:各公司官网,德邦研究所 1.3. 晶圆制造 晶圆制造是半导体制造过程中最重要也是最复杂的环节,整个晶圆制造过程 包括数百道工艺流程,涉及数十种半导体设备。晶圆制造主要的工艺流程包括热 处理、光刻、刻蚀、离子注入、薄膜沉积、化学机械研磨和清洗。 图 8:晶圆制造流程 资料来源:《半导体制造技术导论》 ,德邦研究所 10 / 45 请务必阅读正文之后的信息披露和法律声明
11. 行业深度报告 1.3.1. 热处理工艺 热处理主要包括氧化、扩散和退火工艺。氧化是一种添加工艺,是将硅片放 入高温炉中,加入氧气与之反应,在晶圆表面形成二氧化硅。扩散是通过分子热 运动使物质由高浓度区移向低浓度区,利用扩散工艺可以在硅衬底中掺杂特定的 掺杂物,从而改变半导体的导电率,但与离子注入相比扩散掺杂不能独立控制掺 杂物浓度和结深,因此现在应用越来越少。退火是一种加热过程,通过加热使晶 圆产生特定的物理和化学变化,并在晶圆表面增加或移除少量物质。 表 4:氧化工艺的用途 用途 说明 示意图 表面钝化 通过形成密度非常高的二氧化硅,可能保护器件的表面和内部 掺杂阻挡层 二氧化硅能够形成阻挡保护层,防止掺杂物入侵硅面 表面绝缘体 氧化层可使金属层之间不发生短路,防止金属层之间发生感应 器件绝缘体 氧化层起到介电质的功能,可以让氧化层下面的栅电极产生感 应电流 资料来源:《半导体制造技术导论》 ,德邦研究所 热处理工艺使用的半导体设备为氧化扩散设备,其实质为高温炉。高温炉分 为直立式和水平式高温炉,高温炉主要包括五个基本组件:控制系统、工艺炉管、 气体输送系统、气体排放系统和装载系统。高温炉必须具有稳定性、均匀性、精确 的温度控制、低微粒污染、高生产率和可靠性。 图 9:北方华创 THEORIS 立式氧化炉 图 10:长江存储热处理设备中标比例 北方华创, 31% 进口设备, 68% 资料来源:北方华创官网,德邦研究所 屹唐半导 体, 1% 资料来源:中国国际招标网,德邦研究所 氧化扩散设备主要由东京电子、科意半导体和应用材料供应,国内的氧化扩 散设备生产商主要包括北方华创和屹唐半导体。从长江存储的招标情况来看,氧 化扩散设备还是以国外厂商设备为主,国内厂商北方华创市占率逐年上升,截至 今年 10 月,从设备数量来看,北方华创热处理设备在长江存储的占比已经超过了 30%,屹唐半导体占比 1%。 1.3.2. 光刻工艺 光刻是将设计好的电路图从光刻版或倍缩光刻版转印到晶圆表面的光刻胶上, 便于后续通过刻蚀和离子注入等工艺实现设计电路,是晶圆制造中最重要的技术。 光刻工艺包括三个核心流程:涂胶、对准和曝光以及光刻胶显影。整个光刻过程 需要经过八道工序:晶圆清洗、表面预处理、光刻胶自旋涂敷、软烘烤、对准、曝 光、曝光后烘烤、显影、坚膜烘烤和图形检测。 11 / 45 请务必阅读正文之后的信息披露和法律声明
12. 行业深度报告 图 11:光刻工艺流程图 资料来源:《半导体制造技术导论》 ,德邦研究所 表 5:光刻工艺流程简介 工艺流程 清洗 表面预处理 描述 清洗晶圆,去除前道工艺留下的污染物,同时增强光刻胶在晶圆上的附着力 第一步为加热,出去晶圆表面水汽;第二步为底漆层涂敷沉积,增强光刻胶的附着力 光刻胶自旋涂敷 光刻胶涂敷是一个沉积过程,液态光刻胶通过晶圆旋转产生的离心力散布到整个晶圆表面 软烘烤 光刻胶涂敷后再次加热烘烤,去除光刻胶中的溶剂,并使光刻胶从液态转变为固态 对准和曝光 最关键的工艺过程,第一步将图形定位和对准,第二步将图形曝光到光刻胶上 曝光后烘烤 曝光后对晶圆进行烘烤,去除曝光过程中形成的驻波效应 显影 硬烘烤 检测 除去曝光(或未曝光)部分光刻胶,将电路图复制到光刻胶上 显影后烘烤除去光刻胶内的残余溶剂、增加光刻胶强度 经过测试和检测工艺以确保光刻胶图形化参数 资料来源:《半导体制造技术导论》 ,德邦研究所 光刻工艺流程中最核心的半导体设备是光刻机,光刻机是半导体设备中技术 壁垒最高的设备,其研发难度大,价值量占晶圆制造设备中的 30%。目前全球的 高端光刻机由荷兰 ASML 公司垄断,ASML 是全球最大的光刻机生产商,是全球 唯一能够生产 EUV 光刻机的厂商,EUV 光刻机是先进制程工艺中的核心设备。 中低端光刻机除 ASML 外,还有日本的 Canon 和 Nikon 可以供应。 图 12:ASML EUV 光刻机 图 13:2019 年各类光刻机销售情况 140 ASML 120 Canon Nikon Arf 干法 KrF 100 80 60 40 20 0 EUV 资料来源:ASML 官网,德邦研究所 ArF 浸没式 i-line 资料来源:各公司公告,德邦研究所 目前国内具备光刻机生产能力的企业主要是上海微电子装备有限公司。上海 微电子装备(集团)股份有限公司(简称 SMEE)主要致力于半导体装备、泛半导体装 12 / 45 请务必阅读正文之后的信息披露和法律声明
13. 行业深度报告 备、高端智能装备的开发、设计、制造、销售及技术服务。公司设备广泛应用于集 成电路前道、先进封装、FPD 面板、MEMS、LED、Power Devices 等制造领域。 图 14:上海微电子发展历程 资料来源:上海微电子官网,德邦研究所 在集成电路领域,上海微电子产品主要包括光刻机和晶圆对准及缺陷检测设 备。公司的光刻机产品有 SSX600 和 SSB500 两个系列,其中 SSX600 系列主要 应用于 IC 前道光刻工艺,可满足 IC 前道制造 90nm、110nm、280nm 关键层和 非关键层的光刻工艺需求; SSB500 系列光刻机主要应用于 IC 后道先进封装工艺。 表 6:上海微电子光刻机产品参数 型号 SSA600/20 SSC600/10 SSB600/10 SSB500/40 SSB500/50 90nm 110nm 280nm 2μm 1μm 曝光光源 ArF excimer laser KrF excimer laser i-line mercury lamp 镜头倍率 1:4 1:4 1:4 / / 硅片尺寸 200mm 或 300mm 200mm 或 300mm 200mm 或 300mm 200mm/300mm 200mm/300mm 分辨率 ghi-line/gh line/i-line mercury lamp ghi-line/gh line/i-line mercury lamp 资料来源:上海微电子公司官网,德邦研究所 除上海微电子生产光刻机整机以外,国内还有华卓精科和国科精密从事光刻 机零部件的研发和生产。华卓精科以光刻机双工件台这一超精密机械领域的尖端 产品为核心,并以该产品的超精密测控技术为基础,开发了晶圆级键合设备、激 光退火设备等整机产品。国科精密致力于极大规模集成电路光刻投影光学、显微 光学、多光谱融合成像探测、超精密光机制造与检测等领域的高技术研究,同时 开展相应各类高端光学仪器与装备产品的研发工作, 2016 年公司研发的我国首套 用于高端 IC 制造的 NA0.75 投影光刻机物镜系统顺利交付用户。 表 7:华卓精科光刻机双工件台产品 产品系列 产品图示 产品特性 技术参数 研发/生产阶段 DWS 系列 采用磁悬浮平面电机驱动,多轴 运动平均偏差:4.5nm 激光干涉位移测量。用于 I- 运动标准偏差:7nm line、KrF 和 ArF 干式光刻 最大速度:1.1m/s 机,产率≥150 片/小时 最大加速度:2.4g 已发货 DWSi 系列 采用磁悬浮平面电机驱动,平面 光栅干涉位移测量。用于 ArFi 光刻机,产率≥150 片/小时 运动平均偏差:2.5nm 运动标准偏差:5nm 最大速度:1.5m/s 最大加速度:3.2g 研发中 资料来源:华卓精科招股说明书,德邦研究所 光刻工序所使用的半导体设备除了核心设备光刻机外,还需要涂胶显影设 备。涂胶显影设备是光刻工序中与光刻机配套使用的涂胶、烘烤及显影设备, 13 / 45 请务必阅读正文之后的信息披露和法律声明
14. 行业深度报告 包括涂胶机、喷胶机和显影机,在 8 英寸及以上晶圆的大型生产线上,此类设 备一般都与光刻设备联机作业,组成配套的圆片处理与光刻生产线,与光刻机 配合完成精细的光刻工艺流程。全球的涂胶显影设备基本上被 TEL 垄断,国内 涂胶显影设备厂有沈阳芯源微和盛美股份。 图 15:国内涂胶显影设备市场格局 图 16:芯源微涂胶显影机 芯源微, 4% Screen, 5% TEL, 91% 资料来源:global market monitor,德邦研究所 资料来源:芯源微招股说明书,德邦研究所 1.3.3. 刻蚀工艺 刻蚀是通过移除晶圆表面材料,在晶圆上根据光刻图案进行微观雕刻,将图 形转移到晶圆表面的工艺。刻蚀分为湿法刻蚀和干法刻蚀,湿法刻蚀是利用化学 溶液溶解晶圆表面的材料,干法刻蚀使用气态化学刻蚀剂与材料产生反应来刻蚀 材料并形成可以从衬底上移除的挥发性副产品。由于等离子体产生促进化学反应 的自由基能显著增加化学反应的速率并加强化学刻蚀,等离子体同时也会造成晶 圆表面的离子轰击,故干法刻蚀一般都是采用等离子刻蚀。 图 17:湿法刻蚀原理图 图 18:等离子刻蚀原理图 资料来源:《半导体制造技术导论》 ,德邦研究所 资料来源:《半导体制造技术导论》 ,德邦研究所 表 8:湿法刻蚀和干法刻蚀对比 指标 湿法刻蚀 干法刻蚀 无法完成小于 3 微米的工艺 很小 刻蚀轮廓 等向性 可控,从非等向性到等向性 刻蚀速率 高 可接受,可控 选择性 高 可接受,可控 设备费用 低 高 横向刻蚀长度 资料来源:《半导体制造技术导论》,德邦研究所 集成电路芯片刻蚀工艺中包含多种材料的刻蚀,单晶硅刻蚀用于形成浅沟槽 隔离,多晶硅刻蚀用于界定栅和局部连线,氧化物刻蚀界定接触窗和金属层间接 触窗孔,金属刻蚀主要形成金属连线。 14 / 45 请务必阅读正文之后的信息披露和法律声明
15. 行业深度报告 图 19:具有多晶硅栅和铝金属化 CMOS 芯片刻蚀工艺 资料来源:《半导体制造技术导论》 ,德邦研究所 目前等离子刻蚀是晶圆制造中使用的主要刻蚀方法,电容性等离子刻蚀 (CCP)和电感性等离子刻蚀(ICP)是两种常用的等离子刻蚀方法。电容性等 离子体刻蚀主要是以高能离子在较硬的介质材料上,刻蚀高深宽比的深孔、深 沟等微观结构;而电感性等离子体刻蚀主要是以较低的离子能量和极均匀的离 子浓度刻蚀较软的和较薄的材料。 图 20:电容性等离子体刻蚀反应腔 图 21:电感性等离子体刻蚀反应腔 资料来源:中微公司招股说明书,德邦研究所 资料来源:中微公司招股说明书,德邦研究所 原子层刻蚀(ALE)是指通过一系列的自限制反应去除单个原子层,不会触及 和破坏底层以及周围材料的先进半导体生产工艺。原子层刻蚀可以实现精准的控 制,具有优秀的各向异性,是未来刻蚀工艺的发展方向。 图 22:原子层刻蚀工艺 资料来源:Lam Research,德邦研究所 15 / 45 请务必阅读正文之后的信息披露和法律声明
16. 行业深度报告 刻蚀工艺使用的半导体设备为刻蚀机。全球刻蚀设备行业的主要企业即泛林 半导体(Lam Research),东京电子(TEL)和应用材料(AMAT)三家。从全球刻蚀设 备市场份额来看,三家企业的合计市场份额就占到了全球刻蚀设备市场的 90%以 上。其中泛林半导体独占 52%的市场份额,东京电子与应用材料分别占据 20%和 19%的市场份额 图 23:刻蚀设备反应腔 图 24:全球刻蚀设备市场格局 其他, 9% AMAT, 19% TEL, 20% 资料来源:Lam Research,德邦研究所 Lam Research, 52% 资料来源:前瞻产业研究院,德邦研究所 国内的刻蚀设备企业主要有中微公司、北方华创、屹唐半导体和中电科。其 中,中微公司、北方华创和屹唐半导体均以生产干法刻蚀设备为主,中电科除了 生产干法刻蚀设备以外还生产湿法刻蚀设备。除上述企业外,国内还有创世微纳、 芯源微和华林科纳等企业生产刻蚀设备。 图 25:国内刻蚀设备生产商 资料来源:前瞻产业研究院,德邦研究所 国内刻蚀设备生产商中,中微公司在 CCP 刻蚀领域具备明显优势。在逻辑 集成电路制造方面,公司的 CCP 刻蚀设备已经进入国际知名晶圆代工厂的先进 制程生产线,用于 7/5 纳米器件的生产。在 3D NAND 芯片制造方面,公司的 CCP 刻蚀设备技术可应用于 64 层的量产,同时公司根据存储器厂商的需求正在 开发 96 层及更先进的刻蚀设备和工艺。 表 9:中微公司各关键尺寸的刻蚀应用情况 关键尺寸 刻蚀应用 65 纳米-22 纳米 钝化层、通孔、顶层通孔、顶层沟槽 22 纳米-14 纳米 钝化层、顶层通孔、顶层沟槽 14 纳米-7 纳米 钝化层、通孔顶层通孔、有机掩模层 、接触孔、沟槽、顶层沟槽 7 纳米、5 纳米 有机掩模层、通孔、沟槽 资料来源:中微公司招股说明书,德邦研究所 16 / 45 请务必阅读正文之后的信息披露和法律声明
17. 行业深度报告 北方华创主要覆盖 ICP 刻蚀设备,公司 ICP 刻蚀设备主要用于硅刻蚀和金 属材料的刻蚀,28nm 制程以上刻蚀设备已经实现产业化,在先进制程方面,公 司硅刻蚀设备已经突破 14nm 技术,进入上海集成电路研发中心,与客户共同 开展研发工作。 表 10:北方华创集成电路刻蚀机产品 设备 应用领域 NMC508M 8 英寸铝金属刻蚀机 0.11-0.35um 制程集成电路金属互连线刻蚀工艺 NMC508C 8 英寸硅刻蚀机 0.11-0.35um 制程集成电路(多晶硅栅极和浅沟槽隔离等)硅刻 蚀工艺 NMC612C 12 英寸硅刻蚀机 90-40nm 制程集成电路浅沟槽隔离刻蚀和多晶硅栅极刻蚀 NMC612D 12 英寸硅刻蚀机 28-14nm 制程集成电路的干法刻蚀设备,用于 FinFET,STI 和 Gate 刻蚀工艺 NMC612M 12 英寸氮化钛金属硬掩膜 刻蚀机 应用于 40-14nm 制程集成电路的金属干法刻蚀 资料来源:北方华创公司官网,德邦研究所 1.3.4. 离子注入工艺 离子注入是一种添加工艺,利用高能量带电离子束注入的形式,将掺杂原子 强行掺入半导体中,从而控制半导体的导电率。离子注入提供了比扩散过程更好 的掺杂工艺控制,例如在扩散工艺中掺杂物的浓度和结深无法独立控制,而在离 子注入中可以通过离子束电流和注入时间控制掺杂物浓度,通过离子的能量控制 掺杂物的结深,因此离子注入是目前半导体行业中的主要掺杂方法。 表 11:离子注入与扩散工艺比较 扩散 离子注入 高温,硬遮蔽层 低温,光刻机作为遮蔽层 等向性掺杂轮廓 非等向性掺杂轮廓 不能独立控制掺杂浓度和结深 可以独立控制掺杂浓度和结深 批量工艺 批量及单晶圆工艺 资料来源:《半导体制造技术导论》,德邦研究所 离子注入所使用的半导体设备为离子注入机,离子注入机是非常庞大的设备, 包括了气体系统、电机系统、真空系统、控制系统和最重要的射线系统。根据离子 束电流和束流能量范围,一般可以把离子注入机分为低能大束流离子注入机、高 能离子注入机和中低束离子注入机。 图 26:离子注入机示意图 图 27:离子注入机细分市场格局 高能离子注 入机, 18% 中低束流离 子注入机, 20% 资料来源:《半导体制造技术导论》 ,德邦研究所 其他, 1% 大束流离子 注入机, 61% 资料来源:前瞻产业研究院,德邦研究所 离子注入机可以应用在集成电路和光伏领域。在集成电路领域,全球的离子 注入机为应用材料所垄断,其市场占有率达到了 70%,其次为 Axcelis,占据了近 20%的市场份额。国内的离子注入机生产企业主要是凯世通和北京中科信, 2020 年 12 月凯世通宣布拟向芯成科技出售 3 款 12 英寸集成电路离子注入机,国产离 17 / 45 请务必阅读正文之后的信息披露和法律声明
18. 行业深度报告 子注入机迈出了关键一步。 图 28:Varian VIISta 900 离子注入机 图 29:IC 集成电路离子注入机市场格局 其他, 10% Axcelis, 20% 应用材料, 70% 资料来源:应用材料官网,德邦研究所 资料来源:前瞻产业研究院,德邦研究所 1.3.5. 薄膜沉积工艺 薄膜沉积是一种添加工艺,是指利用化学方法或物理方法在晶圆表面沉积一 层电介质薄膜或金属薄膜,根据沉积方法可以分为化学气相沉积(CVD)和物理 气相沉积(PVD) 。 图 30:CVD 与 PVD 工艺比较 资料来源:《半导体制造技术导论》 ,德邦研究所 图 31:化学薄膜沉积工艺过程 资料来源:《半导体制造技术导论》 ,德邦研究所 18 / 45 请务必阅读正文之后的信息披露和法律声明
19. 行业深度报告 CVD 是利用气态化学源材料在晶圆表面产生化学反应过程,在表面沉积一种 固态物作为薄膜层。CVD 广泛应用在晶圆制造的沉积工艺中,包括外延硅沉积、 多晶硅沉积、电介质薄膜沉积和金属薄膜沉积。常用的化学气相沉积工艺包括常 压化学气相沉积(APCVD)、低压化学气相沉积(LPCVD)和离子增强型化学气 相沉积(PECVD) 。 图 32:三种 CVD 系统示意图 资料来源:《半导体制造技术导论》 ,德邦研究所 APCVD 主要应用在二氧化硅和氮化硅的沉积,LPCVD 主要应用于多晶硅、 二氧化硅及氮化硅的沉积。PECVD 通过等离子产生的自由基来增加化学反应速 度,可以利用相对较低的温度达到较高的沉积速率,广泛应用于氧化硅、氮化硅、 低 k、ESL 和其他电介质薄膜沉积。 表 12:三种 CVD 工艺对比 工艺 APCVD LPCVD PECVD 优点 缺点 反应简单,沉积速度快,低温 台阶覆盖能力差,有颗粒沾污,低出产率 高纯度和均匀性,一致的台阶覆盖能力,大 的硅片容量 低温,快速沉积,好的台阶覆盖能力,好的 间隙填充能力 高温,低沉积速率,系统维护频繁,需要真空 系统 需要射频系统,高成本,压力远大于张力,化 学物质和颗粒玷污 资料来源:《半导体制造技术》,德邦研究所 CVD 工艺使用的半导体设备是化学气相沉积设备,全球的化学气相沉积设备 市场主要由应用材料、泛林半导体和东京电子所垄断,CR3 为 70%。从 CVD 设 备种类来看,PECVD、APCVD 和 LPCVD 三类 CVD 设备合计市场份额约占总市 场份额的 70%,仍旧是 CVD 设备市场的主流。 图 33:全球 CVD 设备市场格局 图 34:各类 CVD 设备占比 其他, 30% TEL, 19% 其他, 30% AMAT, 30% Lam Research, 21% 资料来源:前瞻产业研究院,德邦研究所 APCVD/LP CVD, 35% PECVD, 35% 资料来源:前瞻产业研究院,德邦研究所 19 / 45 请务必阅读正文之后的信息披露和法律声明
20. 行业深度报告 集成电路领域的国产 CVD 设备生产商主要有北方华创和沈阳拓荆。北方华创 主要生产 APCVD 设备和 LPCVD 设备,沈阳拓荆则以 PECVD 为主,根据中国 国际招标网数据,沈阳拓荆已有 3 台 PECVD 设备进入长江存储。 图 35:北方华创 LPCVD 设备 图 36:沈阳拓荆 12 英寸 PECVD 设备 PF-300T 资料来源:北方华创官网,德邦研究所 资料来源:沈阳拓荆官网,德邦研究所 原子层沉积(ALD)是一种可以将物质以单原子膜形式一层一层的镀在基底 表面的方法。原子层沉积与普通的化学沉积有相似之处。但在原子层沉积过程中, 新一层原子膜的化学反应是直接与之前一层相关联的,这种方式使每次反应只沉 积一层原子。 ALD 工艺可以更加精确控制薄膜的尺寸,对于 DRAM, 3D NAND 和 逻辑 FinFET 制造中越来越重要,可能成为未来薄膜沉积的核心工艺。 图 37:北方华创 Polaris A 系列 ALD 设备 图 38:沈阳拓荆 12 英寸 ALD 设备 FT-300T 资料来源:北方华创官网,德邦研究所 资料来源:沈阳拓荆官网,德邦研究所 目前 ALD 设备尚未在集成电路行业中大规模使用,应用材料、泛林半导体和 东京电子都已经推出了 ALD 设备,国内设备生产商在 ALD 设备方面也有布局。 北方华创推出的 ALD 设备可以满足 28-14nm FinFET 和 3D NAND 原子层沉积工 艺要求,目前正处于验证阶段。沈阳拓荆在已通过生产验证的 PECVD 平台上自 主研发了原子层沉积设备,可应用于超大规模集成电路, OLED 及先进封装领域。 表 13:蒸发和溅镀 PVD 工艺对比 指标 Vacuum Evaporation 阻抗加热法 电子束蒸镀 Sputter DC、RF 磁控溅射 适合高熔点材 适合合金、化合物、高熔 适合合金、化合物、高熔点 不适合高熔点材料 料 点材料; 材料; 薄膜材料 基板材料 无要求 无要求 耐热材料 无要求 成膜速度 较快 较快 较慢 较快 蒸镀原料 蒸镀原料 无需长时间供给 靶材 蒸发源供给 生产性 膜厚均匀性 一般 一般 良好 良好 膜厚再现性 低于磁控溅射 低于磁控溅射 低于磁控溅射 良好 资料来源:前瞻产业研究院,德邦研究所 20 / 45 请务必阅读正文之后的信息披露和法律声明
21. 行业深度报告 物理气相沉积(PVD)是另一种重要的薄膜沉积工艺,PVD 是通过加热或溅 射过程将固态材料气态化, 然后使蒸汽在衬底表面凝结形成固态薄膜,常用的 PVD 工艺有蒸发工艺和溅镀工艺。 图 39:电子束蒸镀示意图 图 40:溅镀系统示意图 资料来源:《半导体制造技术导论》 ,德邦研究所 资料来源:《半导体制造技术导论》 ,德邦研究所 PVD 工艺使用的半导体设备为 PVD 设备,全球 PVD 设备市场基本上为应用 材料所垄断,其市场份额高达 85%,其次为 Evatec 和 Ulvac,市场份额分别为 6% 和 5%。 图 41:AMAT PVD 设备 图 42:全球 PVD 设备市场格局 Ulvac, 5% Evatec, 6% 其他, 4% AMAT, 85% 资料来源:应用材料官网,德邦研究所 资料来源:前瞻产业研究院,德邦研究所 国内在集成电路领域的 PVD 生产商主要为北方华创。北方华创突破了溅射源 设计技术、等离子产生与控制技术、颗粒控制技术、腔室设计与仿真模拟技术、软 件控制技术等多项关键技术,实现了国产集成电路领域高端薄膜制备设备零的突 破,设备覆盖了 90-14nm 多个制程。根据公司官网消息,公司 PVD 设备被国内 先进集成电路芯片制造企业指定为 28nm 制程 Baseline 机台,并成功进入国际供 应链体系。 表 14:我国 PVD 工艺进展情况 PVD 设备分类 已量产制程(nm) 在研制程(nm) / / High k Ni-Pt / / Ti 40-110 28 TiN 40-110 28 / 28-65 28 14 28-110 14 Cu B/S HM AI pad 资料来源:前瞻产业研究院,德邦研究所 21 / 45 请务必阅读正文之后的信息披露和法律声明
22. 行业深度报告 1.3.6. 化学机械研磨工艺 化学机械研磨(CMP)是一种移除工艺技术,该工艺结合化学反应和机械研 磨去除沉积的薄膜,使得晶圆表面更加平坦和光滑。CMP 技术有多种优势,例如 CMP 允许高解析度的光刻技术,可以减小过度曝光和显影的需求,允许更均匀的 薄膜沉积从而减小刻蚀的时间。 图 43:CMP 工艺在集成电路中的应用 资料来源:《半导体制造技术导论》 ,德邦研究所 CMP 工艺使用的半导体设备是化学机械研磨机。常见的 CMP 系统包括研磨 衬垫、可以握住晶圆并使其表面向下接触研磨衬垫的自旋晶圆载具,以及一个研 磨浆输配器装置。 图 44:CMP 系统示意图 资料来源:《半导体制造技术导论》 ,德邦研究所 全球 CMP 设备市场主要由应用材料和荏原机械垄断,其中应用材料占据了 全球 70%的市场份额,荏原机械的市占率为 25%。国内 CMP 设备的主要研发生 产单位有华海清科和北京烁科精微电子装备有限公司,其中华海清科是目前国内 唯一实现 12 英寸系列 CMP 设备量产销售的半导体设备供应商,打破了国际厂商 的垄断,填补国内空白并实现进口替代。 1.3.7. 清洗 清洗是贯穿晶圆制造的重要工艺环节,用于去除晶圆制造中各工艺步骤中可 能存在的杂质,避免杂质影响芯片良率和芯片产品性能。目前,随着芯片制造工 艺先进程度的持续提升,对晶圆表面污染物的控制要求不断提高,每一步光刻、 刻蚀、沉积等重复性工序后,都需要一步清洗工序。清洗不仅应用于晶圆制造,在 硅片制造和封装测试过程中也必不可少。 22 / 45 请务必阅读正文之后的信息披露和法律声明
23. 行业深度报告 表 15:半导体清洗的污染物种类、来源及危害 污染物 颗粒 来源 主要危害 环境,其他工艺工程中产生 自然氧化物 环境 影响后续光刻,干法刻蚀工艺,造成器件短路 影响后续氧化,沉积工艺,造成器件电性失效 金属污染 环境,其他工艺工程中产生 影响后续氧化工艺,造成器件电性失效 有机物 干法刻蚀副产物,环境 影响后续沉积工艺,造成器件电性失效 牺牲层 氧化/沉积工艺 影响后续特定工艺,造成器件电性失效 抛光残留物 研磨液 影响后续特定工艺,造成器件电性失效 资料来源:盛美股份招股说明书,德邦研究所 在全球清洗设备市场,日本 DNS 公司占据 40%以上的市场份额,此外, TEL、 LAM 等也在行业占据了较高的市场份额,市场集中度较高。国内的清洗设备领域 主要有盛美半导体、北方华创、芯源微、至纯科技。其中,盛美半导体主要产品为 集成电路领域的单片清洗设备;北方华创收购美国半导体设备生产商 Akrion Systems LLC 之后主要产品为单片及槽式清洗设备;芯源微产品主要应用于集成 电路制造领域的单片式刷洗领域;至纯科技具备生产 8-12 英寸高阶单晶圆湿法清 洗设备和槽式湿法清洗设备的相关技术。 图 45:全球清洗设备市场格局 盛美, 1% semes, 9% 图 46:盛美单片槽式组合清洗设备 其它, 5% Lam Research, 13% DNS, 54% TEL, 19% 资料来源:中国产业信息网,德邦研究所 资料来源:盛美股份招股说明书,德邦研究所 1.4. 测试与封装 1.4.1. 测试 半导体测试贯穿了半导体整个产业链,芯片设计、晶圆制造以及最后的芯片 封装环节都需要进行相应的测试,以保证产品的良率。 图 47:半导体测试在产业中的应用 资料来源:华峰测控招股说明书,德邦研究所 23 / 45 请务必阅读正文之后的信息披露和法律声明
24. 行业深度报告 芯片设计环节的测试主要是设计商使用测试机、探针台和分选机对晶圆样品 和芯片封装样品的功能和性能进行测试。晶圆制造环节的测试包括晶圆几何尺寸 与表面形貌的检测、成分结构分析以及电性测试。封装测试环节主要是通过分选 机和测试机对芯片的电性参数及性能等进行测试,以保证出厂后的芯片在性能和 寿命方面达到设计标准。 图 48:泰瑞达 Magnum 2 存储器测试机 图 49:全球半导体测试机格局 其他, 2% 科休, 8% 爱德万, 50% 泰瑞达, 40% 资料来源:泰瑞达官网,德邦研究所 资料来源:中国产业信息网,德邦研究所 测试环节主要使用的半导体设备是测试机、分选机和探针台。测试机是检 测芯片功能和性能的专用设备,测试机对芯片施加输入信号,采集被检测芯片 的输出信号与预期值进行比较,判断芯片在不同工作条件下功能和性能的有效 性。 表 16:测试机发展历史 时间 集成电路 60 年代中期 芯片引脚数(个) 测试速度 小规模集成电路 16 测试速度慢,只能用连接导线、开关按钮等方式编写测试程序 60 年代末 中等规模集成电路 24 效率提高,可以用计算机进行控制 70 年代初 大规模集成电路 60 测试速度大大提高、可达 10MHZ 70-80 年代 电路种类增多包括 TTL 型、CMOS 型和 ECL 型 128 测试速度提高 超大规模集成电路 256 测试速度可达 100MHZ 3D NAND、SOC 等 1024 大于 1GHZ 80 年代 21 世纪后 资料来源:立鼎产业研究网,德邦研究所 全球测试机市场被爱德万、泰瑞达和科休垄断,三者市场占有率分别为 50%, 40%和 8%。国内测试机生产商主要有华峰测控和长川科技。华峰测控和长川科技 专注于模拟测试机和数字模拟混合测试机,其中华峰测控在国内模拟测试机市占 率接近 60%。我国测试机市场中占市场主要份额的为存储测试机和 SOC 测试机, 市场份额分别为 43.8%和 23.5%。 图 50:国内测试机分类占比 图 51:国内模拟测试机市场格局 分立器件测 RF测试机, 0.9% 试机, 6.8% 其他, 0.3% 数字测试机, 12.7% 模拟测试机, 12.0% 其他, 40.14% 存储测试机, 43.8% 华峰测控, 59.86% SOC测试 机, 23.5% 资料来源:前瞻产业研究院,德邦研究所 资料来源:中国产业信息网,德邦研究所 24 / 45 请务必阅读正文之后的信息披露和法律声明
25. 行业深度报告 探针台和分选机是将芯片的引脚与测试机的功能模块连接起来并实现批量自 动化测试的专用设备。探针台用于晶圆加工之后、封装工艺之前的 CP 测试环节, 负责晶圆的输送与定位,使晶圆上的晶粒依次与探针接触并逐个测试。分选机负 责将输入的芯片按照系统设计的取放方式运输到测试模块完成电路压测,在此步 骤内分选机依据测试结果对电路进行取舍和分类。 图 52:TEL Precio™XL 探针台 图 53:全球探针台市场格局 深圳矽电, 3% 其他, 10% 台湾惠特, 4% 台湾旺矽, 10% 东京精密, 46% 东京电子, 27% 资料来源:东京电子官网,德邦研究所 资料来源:电子发烧友,德邦研究所 半导体探针台设备行业集中度较高,目前主要由东京精密、东京电子两家垄 断,两个公司共计占据全球约 70%的市场份额。台湾惠特、台湾旺矽等也占有较 大的市场份额,特别是在 LED 探针台领域具有优势。国内最大的探针台生产企业 是深圳矽电,长川科技、中电科 45 所也具备探针台生产能力。 表 17:不同种类分选机比较 分选机种类 动力来源 设备优点 半导体器件自身动力和外部压 机构简单,易于维护和操作;生产性能稳 缩空气 定,故障率低 每小时产量高,可以集成打印、外观检查、 转塔式分选机 主转盘内的直驱电机 包装等功能 平 移 拾 取 和 放 置 式 真空吸取半导体、水平传动臂 结构简单、可靠性高;适用于重量较重、体 分选机 传递产品 积较大的产品 重力式分选机 设备缺点 产量较低;不支持体积较小、球栅阵列封装等特殊封 装类型产品测试 不能用于重量较大、外形尺寸较大的产品 每小时产量较低;不适用于体积较小的物体 资料来源:立鼎产业研究网,德邦研究所 分选机按照系统结构可以分为三大类别,即重力式分选机、转塔式分选机、 平移拾取和放置式分选机。全球分选机市场由爱德万、科休、爱普生三家企业所 垄断,国内的分选机生产商主要有长川科技。 1.4.2. 封装 封装是将芯片在基板上布局、固定及连接,并用可塑性绝缘介质灌封形成电 子产品的过程,目的是保护芯片免受损伤,保证芯片的散热性能,以及实现电能 和电信号的传输,确保系统正常工作。封装设备主要有切割减薄设备、引线机、键 合机、分选测试机等。 目前封装设备主要由国外企业垄断,全球封装设备主要由 ASM Pacific、 K&S、 Shinkawa、Besi 等国外企业垄断,国内具备封装设备制造能力的企业主要有中电 科 45 所、艾科瑞斯和大连佳峰。 25 / 45 请务必阅读正文之后的信息披露和法律声明
26. 行业深度报告 2. 产业格局不断变化,中国或将成为产业重心 2.1. 行业进入新一轮上升周期 半导体行业每一次进入上升周期都是由下游需求驱动。回顾半导体行业的发 展历史可以看出,每当下游出现技术升级或产品迭代时,市场对于半导体的需求 将进入上升周期。在 80 到 90 年代,家用电器的普及以及计算机在商业领域的渗 透推动了行业的成长;90 年代到本世纪初,家用电脑及笔记本电脑的普及带来了 行业成长的新动力;2013 年到 2018 年,智能手机和平板电脑等消费电子推动了 行业新一轮繁荣,但 2019 年消费电子的驱动已经出现乏力,半导体行业出现了短 暂的回落。 图 54:全球半导体销售额 全球半导体销售额(亿美元) 6000 同比增速 35% 30% 5000 25% 20% 4000 15% 3000 10% 5% 2000 0% -5% 1000 -10% 0 -15% 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020E 2021E 2022E 2023E 资料来源:WIND,WSTS,德邦研究所预测 新的技术和产品将带来行业驱动力,半导体行业或将进入上升周期。5G、物 联网、大数据、人工智能以及汽车电子等新技术和新产品的应用,将带来庞大的 半导体市场需求,行业将进入新一轮的上升周期。根据 WSTS 预测,2020 年全 球半导体销售额将达 4330 亿美元,同比增长 5.9%,2021 年半导体销售额将达 4690 亿美元,同比增长 8.3%。我们预测 2022 和 2023 年半导体市场将继续增 长,2023 年全球市场规模将达到 5010 亿美元。 2.2. 全球产业转移,中国市场高速成长 半导体经历过两次大的产业转移。半导体产业于 20 世纪 60 年代发源于美国, 美国作为半导体发源地,在产品和技术方面一直保持着全球领先水平。第一次转 移发生于 20 世纪 80 年代,美国将技术和利润较低的封测剥离,转移到日本地区, 日本借助美国的技术支持,逐步完善半导体产业,并在 PC 和家电等领域赶超, 造就了日本东芝和日本日立等知名企业。第二次是 20 世纪 90 年代,随着 PC 产 业升级,DRAM 技术不断提升,而日本由于经济危机无法支撑产业发展,韩国借 此机会对 DRAM 技术和产能不断投入,确立了其在 PC 半导体领域的地位。台湾 把握住了美日半导体从 IDM 模式转向垂直分工模式的机会,大力发展了以台积电 为代表的晶圆代工产业,在产业链占据了重要的位置。 半导体产业正在进行第三次产业转移。中国是全球最大的半导体消费市场, 同时也是全球最大的半导体进口国,庞大的市场需求为半导体产业发展提供了前 提。2010 年以来,中国一方面凭借低劳动力成本的优势,一方面不断引进半导体 产业先进技术,同时加大半导体产业人才培养,逐步承接了半导体低端封测和晶 圆制造业务,完成了半导体产业的原始积累。随着全球电子化进程的开展,下游 产业快速发展,不断推动中国半导体产业持续兴旺。 26 / 45 请务必阅读正文之后的信息披露和法律声明
27. 行业深度报告 图 55:全球半导体销售额(亿美元) 5000 4500 4000 3500 3000 2500 2000 1500 1000 500 0 美洲 欧洲 日本 亚太 资料来源:WIND,德邦研究所 2019 年我国半导体销售额约占全球市场的 35%。在过去十年的半导体景气 周期中,以手机为主的消费电子成为半导体行业发展的主要驱动因素,中国在经 济高速发展和巨大的人口基数作用下,成为全球第一大消费电子市场。据全球半 导体贸易统计组织数据,2014~2019 年中国占全球半导体消费市场的份额逐年提 升中国,2019 年半导体销售额达到 1441 亿美元,占全球市场份额的 35%。随着 5G、汽车电子等下游应用在中国迅速兴起,中国将有望成为全球半导体市场的重 心。 图 56:中国半导体销售额(亿美元) 500 450 400 350 300 250 200 150 100 50 0 35% 30% 25% 20% 15% 10% 5% 0% -5% -10% -15% -20% 同比增速 2020-09 中国半导体销售额(亿美元) 资料来源:WIND,德邦研究所 中国半导体市场仍旧存在供需错配。虽然中国已经成为全球最大的半导体消 费国,但中国的半导体生产能力还远远不能匹配中国市场的巨大需求,晶圆产能 仍旧有待提升。当前半导体产业仍旧由外资主导,无论是半导体设计还是半导体 制造,中国企业的市占率仍旧很低。从晶圆制造产能来看,全球 TOP5 晶圆制造 商均为外资企业,占据了全球超过 50%的产能份额。 表 18:2019 年全球晶圆产能 TOP5 2019 年排名 厂商 2019 年产能(万片/月) 全球产能占比 1 三星 293.5 15.0% 2 台积电 250.5 12.8% 3 镁光 184.1 9.4% 4 SK 海力士 174.3 8.9% 5 铠侠 140.6 7.2% 资料来源:IC Insights,德邦研究所 27 / 45 请务必阅读正文之后的信息披露和法律声明
28. 行业深度报告 图 57:我国集成电路进出口数量 600,000 集成电路进口数量(百万块) 集成电路出口数量(百万块) 500,000 400,000 300,000 200,000 100,000 0 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 资料来源:WIND,德邦研究所 中国大陆封测产业已经具备一定实力。中国凭借低廉的劳动力,首先承接了 对劳动力需求较大技术要求较低的半导体封测业务。目前,中国大陆封测环节在 全球已经具备一定的竞争力,根据拓墣产业研究院数据,2020 年第三季度全球前 十大封测企业中,中国大陆企业长电科技、通富微电和华天科技分别位列 3、6、 7 名。 表 19:2020 年第三季度全球封测厂排名 排名 公司 2020 三季度营收(百万美元) 2020 三季度市占率 同比增速 1 日月光 1520 22.5% 15.1% 2 安靠 1354 20.0% 24.9% 3 长电科技 982 14.5% -2.3% 4 矽品 897 13.3% 17.5% 5 力成 647 9.6% 14.2% 6 通富微电 398 5.9% 13.0% 7 华天科技 319 4.7% -1.5% 8 京元电 251 3.7% 11.6% 9 颀邦 197 2.9% 13.1% 10 南茂 194 2.9% 12.4% 资料来源:拓墣产业研究院,德邦研究所 图 58:我国芯片设计企业数量 图 59:我国芯片设计企业销售额 2500 100% 5000 2000 80% 4000 1500 60% 3000 20% 1000 40% 2000 15% 500 20% 1000 0 0% 资料来源:SEMI,德邦研究所 30% 25% 10% 5% 0 2012 2013 2014 2015 2016 2017 2018 2019 2020 我国集成电路设计企业数量 35% 0% 2012 2013 2014 2015 2016 2017 2018 2019 2020 同比增速 全国芯片设计企业销售额(亿元) 同比增速 资料来源:SEMI,德邦研究所 2020 年我国芯片设计行业销售额首次突破 500 亿美元。2020 年虽然行业受 到了新冠疫情的影响,但我国芯片设计行业仍旧保持了较快的增长态势,2020 年 全行业设计企业数量为 2218 家,同比增长 24.6%。从销售收入来看,全行业销售 28 / 45 请务必阅读正文之后的信息披露和法律声明
29. 行业深度报告 预计为 3819.4 亿元,同比增长 23.8%,按照美元与人民币 1:6.8 的兑换率,全年 销售约为 561.7 亿美元,首次超过 500 亿美元。 表 20:2020 年第四季度全球前十大晶圆代工厂营收排名 排名 公司 总部 2020Q4 预计营收(百万美元) 同比增速 1 台积电 台湾 12550 21% 2 三星 韩国 3715 25% 3 联电 台湾 1569 13% 4 格罗方德 美国 1494 -4% 5 中芯国际 上海 963 15% 6 高塔半导体 以色列 340 11% 7 力积电 台湾 312 28% 8 世界先进 台湾 297 24% 9 华宏半导体 上海 269 11% 10 东部高科 韩国 209 16% 资料来源:拓墣产业研究院,德邦研究所 我国晶圆代工发展迅速,中芯国际和华宏半导体已进入全球前十。我国封测 行业逐渐进入成熟阶段,晶圆代工正在快速崛起,涌现出了中芯国际和华宏半导 体等具备发展潜力的晶圆代工企业。根据拓墣产业研究院最新预测,2020 第四季 度全球晶圆代工营收排行中,中芯国际和华宏半导体分别位列第 5 名和第 9 名。 同时,我国正在寻求 IC 制造方面的突破,中国大陆正迎来投资建厂热潮,这将为 半导体设备带来广阔的市场空间。 表 21:中国大陆在建及规划晶圆厂情况 在建 规划中 地点 公司 生产项目 晶圆尺寸 投资金额 月产能 开工时间 厦门 士兰微 MEMS、功率器件 12 寸 170 亿元 8 万 2018 年 10 月 西安 三星 3D NAND 12 寸 80 亿美元 7 万 2019 年 12 月 成都 紫光集团 3D NAND 12 寸 280 亿元 30 万 2018 年 10 月 青岛 芯恩 - 8 寸/12 寸 188 亿元 - 2018 年 8 月 上海 积塔半导体 分立器件 8 寸 359 亿元 6 万 2018 年 8 月 宁波 中芯国际 - 8 寸 19.9 亿元 2.75 万 2019 年 2 月 杭州 士兰集昕 - 8 寸 15 亿元 3.6 万 2019 年 无锡 海辰半导体 分立器件 8 寸 67.9 亿元 - 2018 年 济南 富能半导体 功率器件 6 寸/8 寸 60 亿元 3.1 万 2019 年 日照 兴华半导体 - 5 寸/6 寸/8 寸 50 亿元 3 万 2019 年 6 月 重庆 华润微 功率器件 12 寸 100 亿元 - - 上海 积塔半导体 分立器件 12 寸 359 亿元 5 万 - 重庆 紫光集团 DRAM - - - - 北京 中芯国际 - 12 寸 76 亿美元 10 万 - 资料来源:芯思想,德邦研究所 半导体设备对于行业发展至关重要。当前我国半导体设备依旧高度依赖于海 外企业,并且在核心技术和零部件上受到一定的限制。半导体设备涉及数学、物 理、化学、光学、力学等多个基础学科,技术壁垒高,研发难度大周期长,是整个 产业中最关键的环节之一。半导体设备直接关系芯片设计能否落成实物,产品可 靠性和良率能否达到设计标准,国内行业是否能够参与全球竞争。因此要实现我 国半导体产业链的自主可控,半导体设备至关重要。 29 / 45 请务必阅读正文之后的信息披露和法律声明
30. 行业深度报告 3. 半导体设备市场再创新高,国产化替代空间广阔 3.1. 全球半导体设备市场或超 710 亿美元 根据 SEMI 最新预测, 2021 年全球半导体设备需求将超过 710 亿美元。半导 体设备位于产业链的上游,其市场规模随着下游半导体的技术发展和市场需求而 波动。2013-2018 年,在智能手机和消费电子快速发展的推动下,半导体设备进 入了一个持续上升的行业周期,市场规模从 317.9 亿美元增长到了 645.3 亿美元, 5 年 GACR 为 15%。而 2019 年全球半导体设备支出为 597.5 亿美元,同比下降 7.4%,增长势头稍有回落。根据 SEMI 预测,2020 年全球半导体设备市场规模达 创纪录的 689 亿美元,同比增长 16%, 2021 年将达 719 亿美元,同比增长 4.4%, 2022 年仍旧保持增长态势,市场将达 761 亿美元,同比增长 5.8%。 图 60:全球半导体设备销售额 800 全球半导体设备销售额(亿美元) YOY(%) 700 200% 150% 600 100% 500 400 50% 300 0% 200 -50% 100 0 -100% 资料来源:SEMI,德邦研究所 前端和后端半导体设备都将持续增长。 根据 SEMI 数据,晶圆制造设备预计 2020 年将增长 15%达到 594 亿美元, 2021 年和 2022 年分别增长 4%和 6%。 代工和逻辑业务约占晶圆制造设备销售总额的一半,由于先进制程的投资,今年 的支出将增长 15%左右,达到 300 亿美元。存储方面,NAND 制造设备支出今年 将增长 30%,超过 140 亿美元,而 DRAM 有望在 2021 年和 2022 年引领增长。 封装设备方面,2020 年市场规模将增长 20%,达到 35 亿美元,在先进封装应用 的推动下,到 2021 年和 2022 年分别增长 8%和 5%。半导体测试设备销售额 2020 年预计增长 20%,达到 60 亿美元,随着对 5G 和高性能计算应用的需求的 提升,半导体测试设备在 2021 年和 2022 年将持续保持增长态势。 图 61:2020 年半导体设备市场结构(不包括硅片制造设备) 图 62:2020 年各地区半导体设备销售额 测试设备, 8.71% 封装设备, 5.08% 其他地区, 3.77% 韩国, 22.79% 晶圆制造设 备, 86.21% 欧洲, 3.48% 中国大陆, 26.27% 中国台湾, 24.38% 北美, 8.85% 日本, 10.60% 资料来源:SEMI,德邦研究所 资料来源:SEMI,德邦研究所 分地区来看,2020 年中国大陆已成为全球最大的半导体设备市场。中国大陆 是近年来半导体设备市场唯一保持持续增长的地区,市场规模在全球的占比逐年 30 / 45 请务必阅读正文之后的信息披露和法律声明
31. 行业深度报告 提升。2016-2019 年,中国大陆的半导体设备市场规模从 64.6 亿美元增长到了 134.5 亿美元, 3 年 CACR 达 28%,在全球市场中的占比由 15.7%提升至 22.5%。 随着中国大陆在 IC 和储存领域的强劲支出,SEMI 预计 2020 年中国大陆半导体 设备市场规模将达 181 亿美元,同比增长 34.6%,成为全球最大的半导体设备市 场。我们认为在国家政策和资金支持下,2021 和 2022 年中国大陆的半导体设备 支出将持续保持高位,市场规模将保持在 180 亿美元。 图 63:全球各地区半导体设备市场规模(亿美元) 900 中国大陆 中国台湾 日本 北美 欧洲 韩国 其他地区 800 700 600 500 400 300 200 100 0 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020E 2021E 2022E 资料来源:SEMI,德邦研究所预测 3.2. 细分市场高度集中,海外龙头处于垄断地位 全球半导体市场集中度高,各细分市场均被龙头企业所垄断。半导体设备技 术壁垒高,研发周期长难度大,故半导体设备市场份额集中在少数企业。2018 年 全球半导体设备市场 CR5 为 65.1%,CR10 为 76.3%。2019 年市场集中度进一 步提升,CR5 高达 76.5%,CR10 高达 91.3%。 图 64:2018 年全球半导体设备市场格局 日立高 新, 1.7% 泰瑞达, 1.8% ASM, 1.8% 爱德万 , 2.7% DNS, 3.2% 科磊 , 5.2% 图 65:2019 年全球半导体设备市场格局 应用材料, 17.3% 泰瑞达, 2.60% 爱德 万 , ASM, 3% 3.10% 其他, 23.7% DNS, 3.70% 阿斯麦 , 15.7% 日立高 应用材料, 新, 18.50% 2.40% 阿斯麦 , 18.10% 科磊 , 6.60% 泛林半导 体 , 16% 东京电子 , 泛林半导体 , 13.4% 13.5% 资料来源:前瞻经济学人,德邦研究所 其他, 8.70% 东京电子 , 17.30% 资料来源:前瞻经济学人,德邦研究所 全球半导体设备厂商主要集中在美国、日本和荷兰。美国的半导体设备厂商 主要有应用材料、泛林半导体、科磊和泰瑞达,覆盖的设备主要包括晶圆制造和 封测环节的刻蚀设备、离子注入机、薄膜沉积设备、掩膜版制造设备、检测设备、 测试设备、清洗设备等。日本的半导体设备厂商主要包括东京电子、DNS、爱德 万和日立高新,主要覆盖的设备包括刻蚀设备、薄膜沉积设备、清洗设备、热处理 设备、涂胶机/显影机、退火设备、检测设备、测试设备等,另外尼康和佳能两家 日本公司还可以供应中低端光刻机。荷兰的半导体设备厂为阿斯麦,阿斯麦作为 全球光刻机龙头,垄断了高端光刻机市场,并且在中低端市场也占据相当份额。 31 / 45 请务必阅读正文之后的信息披露和法律声明
32. 行业深度报告 表 22:全球主要半导体设备厂商 总部 2019 年营业收入(亿美元) 覆盖半导体设备种类 应用材料 美国 146 薄膜沉积设备、刻蚀设备、离子注入设备、热处理设备、 CMP 设备、电镀设备、测量和圆片检测设备等 阿斯麦 荷兰 132 光刻机 东京电子 日本 104 刻蚀机、CVD、涂布/显影机和清洗机 泛林半导体 美国 97 刻蚀机、薄膜沉积设备、清洗设备 科磊 美国 46 过程检测设备 DNS 日本 30 清洗设备、刻蚀设备、显影涂布设备等 爱德万 日本 26 测试设备 ASM 荷兰 21 封装设备 泰瑞达 美国 23 测试设备 日立高新 日本 66 薄膜沉积设备、刻蚀设备、检测设备、封装贴片设备等 资料来源:德邦研究所整理 半导体设备投资中晶圆加工设备占比达 80%。半导体设备在新建的晶圆厂资 本支出中占比为 80%,而在半导体设备中晶圆加工设备占比为 80%,为最主要的 资本支出项目,封装测试设备占比 15%,其余设备占比 5%。根据前瞻产业研究 院的数据,在晶圆加工设备中,刻蚀机投资占比最高达 30%,其次是薄膜沉积设 备占比 25%,光刻机占比 23%,其余设备合计占比 22%。在各细分领域中,我国 半导体设备企业具备竞争力的设备主要包括刻蚀设备、薄膜沉积设备及清洗设备。 图 66:半导体设备投资占比 图 67:晶圆制造设备投资占比 其他设备, 5% 封装测试设 备, 15% 其他设备, 22% 晶圆加工设 备, 80% 光刻机, 23% 资料来源:中国产业信息网,德邦研究所 刻蚀机, 30% 薄膜沉积, 25% 资料来源:前瞻产业研究院,德邦研究所 光刻机市场由荷兰 ASML 所垄断。光刻机是晶圆加工设备中技术壁垒最高的 设备, 该市场为荷兰企业 ASML (阿斯麦) 所垄断。 全球光刻机生产商主要有 ASML、 尼康和佳能,其中 ASML 具有绝对的垄断地位。 图 68:全球光刻机销售数量(台) 400 ASML Canon Nikom 350 300 250 200 150 100 50 0 2014 2015 2016 2017 2018 2019 资料来源:前瞻产业研究院,德邦研究所 32 / 45 请务必阅读正文之后的信息披露和法律声明
33. 行业深度报告 阿斯麦主要从事半导体光刻设备的设计、制造及销售。阿斯麦总部位于荷兰 Veldhoven,业务范围遍及全球,生产与研发单位则分别位于美国康乃狄克州、加 州,台湾以及荷兰。阿斯麦是全球唯一的 EUV 光刻机生产商,2019 年阿斯麦实 现营业收入 132.4 亿美元,同比增长 5.8%。近三年先进制程逻辑芯片及存储芯片 等下游需求激增,为 ASML 的高端光刻机带来了广阔的市场空间,近三年公司营 收 CAGR 达 22.7%。 图 69:ASML 营业收入情况 140 营业收入(亿美元) 60% 同比增速 50% 120 40% 100 30% 80 20% 60 10% 40 0% 20 -10% 0 -20% 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 资料来源:WIND,德邦研究所 公司的垄断地位为公司带来了较高的毛利率和净利率。公司在光刻机行业的 独特的垄断地位为公司带来了较高的且稳定的毛利率和净利率。2010-2019 年公 司毛利率始终稳定在 40%~45%,净利率稳定在 20%~25%之间,具备优秀的盈利 能力。 图 70:ASML 毛利率及净利率变化情况 50% 销售毛利率 销售净利率 45% 40% 35% 30% 25% 20% 15% 10% 5% 0% 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 资料来源:WIND,德邦研究所 阿斯麦始终保持持续的高研发投入。光刻机研发涉及数学、物理、化学等基 础学科,以及机械、电气、自动化、计算机等工程学科,研发难度大,需要大量的 持续的研发支出。AMSL 研发费用跟随营业收入逐年提升,研发费用率常年保持 在 10%以上,这是 ASML 能够持续垄断高端光刻机,并不断满足下游客户需求的 重要原因之一。2019 年,ASML 研发费用达到了 22 亿美元。 阿斯麦于 2000 年在上海成立分公司,于上海、北京、天津、大连、武汉等地 设有客户支持办公室,在深圳设有计算光刻软件研发中心,在北京有量测设备研 发中心。 33 / 45 请务必阅读正文之后的信息披露和法律声明
34. 行业深度报告 图 71:ASML 研发费用情况 25 研发支出(亿美元) 20% 研发费用率 18% 20 16% 14% 15 12% 10% 10 8% 6% 5 4% 2% 0 0% 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 资料来源:WIND,德邦研究所 泛林半导体是全球刻蚀设备龙头,市占率超过 50%。泛林半导体(Lam Research)公司成立于 1980 年,总部位于美国加利福尼亚州福利蒙特。公司产 品主要覆盖等离子蚀刻、薄膜沉积设备、清洗设备等半导体设备。公司在等离子 刻蚀设备领域处于龙头地位,根据前瞻产业研究院数据,2019 年公司在全球刻蚀 设备市场中占比达 52%。 图 72:泛林半导体营业收入 120 营业收入(亿美元) 60% 同比增速 50% 100 40% 80 30% 20% 60 10% 40 0% -10% 20 -20% 0 -30% 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2019 资料来源:WIND,德邦研究所 随着先进制程和 3D NAND 的推进,晶圆加工对于刻蚀工艺的需求明显增多。 作为刻蚀龙头,泛林半导体受益显著,2019 年前营收保持高速增长。2019 年全 球半导体市场短暂下行,公司营收也出现了下滑, 2020 年重回增长轨道。 2020 年 公司全年实现营收 100.4 亿美元,同比增长 4%。公司在刻蚀设备方面持续保持领 先,公司于 2014 年就已经开发出了具备 ALE 功能的 Flex 系列刻蚀机。 表 23:泛林半导体刻蚀设备发展历史 时间 产品 制程 1982 AutoEtch 1.5μm 1992 ICP 干法刻蚀设备 0.8μm 2000 2300 系列刻蚀平台 180nm 2004 KIYO 和 Flex 系列第一代 90nm 90nm 2014 具备 ALE 功能的 KIYO 和 Flex 系列 14nm 资料来源:泛林半导体官网,德邦研究所 应用材料是平台型半导体设备龙头。应用材料(AMAT)是全球最大的半导体 和显示器面板研发、制造和服务公司。应用材料股份有限公司成立于 1967 年,总 34 / 45 请务必阅读正文之后的信息披露和法律声明
35. 行业深度报告 部位于美国加利福尼亚州圣克拉拉。半导体设备为公司主要营收来源,在半导体 设备领域,公司覆盖了薄膜沉积设备、刻蚀设备、离子注入设备、CMP 设备以及 检测设备等设备,是全球最大的半导体设备厂商,公司在薄膜沉积设备和离子注 入设备领域具有垄断地位。 图 73:2020 年应用材料营收业务分布 图 74:2020 年应用材料营收地区分布 应用服务, 9.34% 美国, 9.4% 欧洲, 4.3% 东南亚, 2.4% 面板业务, 24.15% 韩国, 17.6% 半导体系统, 66.08% 中国大陆, 31.7% 中国台湾, 23.0% 日本, 11.6% 资料来源:WIND,德邦研究所 资料来源:WIND,德邦研究所 2020 年公司营业收入高达 172 亿美元。受益于 2020 年全球晶圆加工资本支 出上升,作为半导体设备龙头的应用材料实现营业收入 172 亿美元,同比增长 17.8%,其中来自于半导体设备业务的营收为 113.7 亿美元,同比增长了 25.9%。 图 75:应用材料营业收入 200 营业收入(亿美元) 40% 同比增速 180 30% 160 140 20% 120 100 10% 80 0% 60 40 -10% 20 0 -20% 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 资料来源:WIND,德邦研究所 3.3. 国内半导体设备市场将超千亿,国产替代空间广阔 2020 年国内半导体设备市场规模预计达 181 亿美元,同比增长 34.6%。随 着 PC 和消费电子在国内的市场不断扩大,对于集成电路的旺盛需求带来了国内 对于集成电路产业的持续投资。自 2013 年以来国内的半导体设备市场规模不断 增长,2013 年国内半导体设备市场规模 33.7 亿美元,根据 SEMI 预测,2020 年 市场规模预计达 181 亿美元,七年 CAGR 达 27%。在 2019 年全球半导体资本支 出低迷的情况下,国内半导体设备支出仍旧保持了增长态势,市场规模达 134.5 亿美元。同比增长 2.5%。我们认为在国家政策和资金支持下,2021 和 2022 年中 国大陆的半导体设备支出将持续保持高位,市场规模将保持在 180 亿美元。 2019 年国产半导体设备销售额为 161.82 亿元,同比增长 30%。其中集成电 路设备销售额为 71.29 亿元,同比增长 55.5%。而中国大陆 2019 年半导体设备 市场规模 134.5 亿美元,国产化率约 17%,具备较大国产替代空间。 35 / 45 请务必阅读正文之后的信息披露和法律声明
36. 行业深度报告 图 76:中国大陆半导体设备市场规模 200 国内半导体设备市场规模(亿美元) 70% 同比增速 180 60% 160 50% 140 120 40% 100 30% 80 20% 60 10% 40 0% 20 0 -10% 2013 2014 2015 2016 2017 2018 2019 2020E 2021E 2022E 资料来源:SEMI,德邦研究所预测 图 77:2019 年中国大陆半导体设备国产化率 图 78:2019 年国产集成电路设备企业 TOP5 16 14 国产设 备, 17% 集成电路设备销售额(亿元) 12 10 8 6 进口设备, 83% 4 2 0 北方华创 屹唐半导体 资料来源:电子专用设备工业协会,WIND,德邦研究所 中电科 中微公司 盛美半导体 资料来源:电子专用设备工业协会,德邦研究所 3.4. 政策资金双轮驱动,助力半导体设备国产化 国家政策大力支持半导体设备行业发展,国产替代进程加快。自“02 专项” 以来,国家发布了一系列政策支持我国半导体行业的发展。国家先后出台了《国 家集成电路产业发展推进纲要》,《关于进一步鼓励软件产业和集成电路产业发展 的若干政策的通知》等多方面为半导体产业政策给予扶持。 表 24:我国半导体产业政策梳理 时间 政策 2006.03 《2006-2020 年国家 信息化发展战略》 2011.01 《关于进一步鼓励软 件产业和集成电路产 业发展的若干政策的 通知》 2012.05 《关于进一步鼓励软 件产业和集成电路产 业发展企业所得税政 策的通知》 2014.06 《国家集成电路产业 发展推进纲要》 36 / 45 主要内容 在集成电路(特别是中央处理器芯片)、系统软件、关键应用软 件、自主可控关键装备等涉及自主发展能力的关键领域,瞄准国际 创新前沿,加大投入,重点突破,逐步掌握产业发展的主动权。 为进一步优化软件产业和集成电路产业发展环境,提高产业发展质 量和水平,培育一批有实力和影响力的行业领先企业,在财税、投 融资、研究开发、进出口等各方面制定了许多优惠政策。在投融资 方面,积极支持符合条件的软件企业和集成电路企业采取发行股 票、债券等多种方式筹集资金,拓宽直接融资渠道。 我国境内新办的集成电路设计企业和符合条件的软件企业,经认定 后,在 2017 年 12 月 31 日前自获利年度起计算优惠期,第一年 至第二年免征企业所得税,第三年至第五年按照 25%的法定税率 减半征收企业所得税,并享受至期满为止。国家规划布局内的重点 软件企业和集成电路设计企业,如当年未享受免税优惠的,可减按 10%的税率征收企业所得税。 提出着力发展集成电路设计业;加速发展集成电路制造业;提升先 进封装测试业发展水平;突破集成电路关键装备和材料;并从成立 国家集成电路产业发展领导小组、设立国家产业投资基金、加大金 请务必阅读正文之后的信息披露和法律声明
37. 行业深度报告 2015.03 2018.01 《关于进一步鼓励集 成电路产业发展企业 所得税政策的通知》 《关于集成电路生产 企业有关企业所得税 政策问题的通知》 融支持力度、落实税收支持政策、加强安全可靠软硬件的推广应 用、强化企业创新能力建设、加大人才培养和引进力度、继续扩大 对外开放等八个方面配备了相应的保障措施。 明确了享受两免三减半企业所得税优惠条件的集成电路、测试企 业、集成电路关键专用生产企业或集成电路专用设备生产企业的条 件,从所得税优惠层面助推集成电路发展。 规定了不同纳米级别、经营期限和投资规模的集成电路生产企业的 企业所得税的优惠政策,从税收政策上支持集成电路生产企业的发 展。 资料来源:中微公司招股说明书,德邦研究所 《新时期促进集成电路产业和软件产业高质量发展的若干政策》出台,进一 步大力支持国产半导体产业发展。在半导体国产化需求迫切的情况下,2020 年 8 月国务院发布《新时期促进集成电路产业和软件产业高质量发展的若干政策》,从 财税、融资、人才、市场等多个维度支持国内半导体相关企业的发展。 表 25:《新时期促进集成电路产业和软件产业高质量发展的若干政策》旧财税政策变化 制程要求 年限要求 税收政策 政策变化 ≤28nm 15 年以上 10 年免征 原政策为“5 免 5 减半” ≤65nm 15 年以上 5 年免征 5 年减半 不变 ≤130nm 10 年以上 2 年免征 3 年减半 不变 亏损准予转结,总转结年限不得超 10 年 原政策为 5 年 ≤130nm 资料来源:国务院,德邦研究所 表 26:《新时期促进集成电路产业和软件产业高质量发展的若干政策》新增财税政策 政策对象 新增政策 重点集成电路设计企业 5 年免税 5 年按 10% 集成电路装备、材料、封装、测试企业 <65nm 的逻辑电路、存储企业; ≤250nm 特色工艺集成电路; ≤500nm 的化合物集成电路生产企业; 先进封装测试企业; 2 年免税 3 年减半 免征进口关税 除不予免税目录商品外,免征进口关税 资料来源:国务院,德邦研究所 国家大基金深度参与半导体设备行业。 2014 年国家设立了国家集成电路产业 投资基金, 2018 年国家集成电路产业投资基金一期经投资完毕,总投资额为 1387 亿元,公开投资公司为 23 家,未公开投资公司为 29 家,累计有效投资项目达到 70 个左右,投资范围涵盖集成电路产业上、下游各个环节。大基金一期投资项目 中,集成电路制造占 67%,设计占 17%,封测占 10%,装备材料类占 6%。 表 27:国家集成电路产业基金一期投资领域 领域 代表企业 芯片制造 中芯国际、中芯北方、长江存储、华力二期、士力微电子、三安光电、耐威科技 芯片设计 紫光晨讯,中兴微电子、艾派克、湖南田科微、盛科网络、硅谷数据、芯原微电子 芯片封测 长电科技、通富微电、华天科技、中芯长电 集成电路装备 中微公司、沈阳拓荆、长川科技、上海睿励、北方华创 集成电路材料 沪硅产业、鑫华半导体、安集科技、烟台傅邦 资料来源:前瞻产业研究院,德邦研究所 大基金二期将继续支持国产半导体设备发展。 2019 年国家集成电路产业基金 二期成立,投资布局及规划方向主要有三点:第一,支持龙头企业做大做强,提升 成线能力;第二,产业聚集,抱团发展,组团出海;第三,续推进国产装备材料的 下游应用。 在半导体设备方面,首期基金主要完成产业布局,二期基金将对在刻蚀机、 薄膜设备、测试设备和清洗设备等领域已布局的企业保持高强度的持续支持,推 37 / 45 请务必阅读正文之后的信息披露和法律声明
38. 行业深度报告 动龙头企业做大最强,形成系列化、成套化装备产品。加快开展光刻机、化学机械 研磨设备等核心设备以及关键零部件的投资布局,保障产业链安全。充分发挥基 金在全产业链布局的优势,持续推进装备与集成电路制造、封测企业的协同,加 强基金所投企业间的上下游结合,加速装备从验证到“批量采购”的过程,为本土 装备材料企业争取更多的市场机会。督促制造企业提高国产装备验证及采购比例, 为更多国产设备材料提供工艺验证条件,扩大采购规模。 3.5. 国产设备厂商奋起直追,设备国产化进行时 在国家政策和资金的大力支持下,国内涌现出一批优质的国产半导体设备厂 商,具备未来与国际巨头竞争的潜力。国内主要的半导体设备厂商有:北方华创、 中微公司、华峰测控、长川科技、精测电子、芯源微、至纯科技、万业企业、晶盛 机电等上市公司,以及屹唐半导体、盛美半导体、华海清科、华卓精科、沈阳拓 荆、中电科、睿励科学等未上市公司。 表 28:国内外半导体设备公司对比(截至 2021 年 1 月 6 日) 股票代码 公司 主要覆盖的半导体设备 2019 年营业收入(亿元) 2019 年净利润(亿元) 总市值(亿元) PE(TTM) 国内半导体设备公司 002371.SZ 北方华创 刻蚀、薄膜沉积、热处理、清洗 40.30 3.09 953.77 229.15 688012.SH 中微公司 刻蚀 19.45 1.89 834.39 252.40 688200.SH 华峰测控 测试 2.52 1.02 228.72 145.35 300567.SZ 精测电子 检测 19.37 2.70 129.21 64.24 300604.SZ 长川科技 测试机,分选机 3.95 0.12 106.53 231.31 603690.SH 至纯科技 清洗 9.80 1.10 118.44 100.14 688037.SH 芯源微 清洗、显影涂胶 2.12 0.29 86.78 118.81 300316.SZ 晶盛机电 单晶炉、硅片加工 30.94 6.37 412.71 59.87 薄膜沉积、刻蚀、离子注入、CMP 1,033.50 191.45 5,305.48 22.64 国外半导体设备公司 AMAT.O 应用材料 阿斯麦 光刻机 923.79 202.60 13,739.19 54.49 8035.T 东京电子 刻蚀、热处理、显影涂胶 738.87 121.39 3,783.33 33.00 LRCX.O 泛林半导体 刻蚀、薄膜沉积、清洗 663.65 150.65 4,612.19 27.29 6857.T 爱德万 测试 180.83 35.09 992.65 30.20 ASM 封装 143.22 5.55 336.09 48.04 泰瑞达 测试 160.10 32.61 1,306.48 28.30 ASML.O 0522.HK TER.O 资料来源:WIND、德邦研究所 3.5.1. 平台型国产半导体设备龙头:北方华创 北方华创是国内领先的半导体设备平台型企业。北方华创前身为七星电子, 2016 年七星电子和北方微电子战略重组,2017 年公司正式更名为北方华创。公 司是国内领先的高端电子工艺装备和精密电子元器件生产商。公司是以半导体设 备为核心业务的平台型企业,主营业务包括半导体装备、真空装备、新能源锂电 装备及精密元器件。 半导体设备已经成为公司核心业务。公司四大业务中,半导体设备为核心业 务,营收占比逐年提升,2019 年半导体设备业务营收占比为 63.9%。主要产品包 括刻蚀机、PVD、CVD、热处理设备和清洗机等,下游覆盖领域包括集成电路、 LED、显示面板和光伏电池。 公司作为国产半导体设备主力,承担多个国家重大科技项目。北方华创作为 国资背景的半导体设备国产化主力军,承担了 863 计划和国家 02 专项等多个半 导体设备公关研发项目,包括刻蚀设备、PVD 和 CVD 设备的研发和产业化,公 司承担项目已部分完成验收实现产业化。 38 / 45 请务必阅读正文之后的信息披露和法律声明
39. 行业深度报告 表 29:北方华创承担国家重大科技专项 项目类型 项目名称 863 集成电路制造装备重大项目 100 纳米高密度等离子刻蚀机研发与产业化项目 90/65 纳米刻蚀机研发与产业化项目 国家“十一五”02 重大科技专项 65-45 纳米 PVD 设备研发项目 32-22 纳米栅刻蚀机研发与产业化项目 14nm 立体栅刻蚀机研发与产业化项目 国家“十二五”02 重大科技专项 45-22 纳米 PVD 设备研发与产业化项目 28-14nm 原子层沉积系统产品研发及产业化 资料来源:公司公告,德邦研究所 公司营业收入持续增长,净利润稳步提升。受益于下游半导体、光伏和 LED 行业持续发展,公司营收和盈利逐年稳步增长。2019 年公司实现营业收入 40.58 亿元,同比增长 22.1%,2016-2019 年 CAGR 为 35.7%。2019 年公司实现归母 净利润 3.09 亿元,同比增长 32.2%,2016-2019 年 CAGR 为 49.3%。2020 年在 新冠肺炎疫情的影响下,上半年公司营收和利润仍旧保持了高速增长,2020 前三 季度实现营业收入 38.36 亿元,同比增长 40.1%,实现归母净利润 3.27 亿元,同 比增长 48.9%。 图 79:北方华创营业收入 50 图 80:北方华创归母净利润 营业收入(亿元) YOY(%) 40 60% 3.5 50% 3.0 30% 20 YOY(%) 100% 80% 2.5 40% 30 归母净利润(亿元) 2.0 60% 1.5 40% 20% 1.0 10 10% 0 0% 2016 2017 资料来源:WIND,德邦研究所 2018 2019 20% 0.5 0.0 2020Q1-Q3 0% 2016 2017 2018 2019 2020Q1-Q3 资料来源:WIND,德邦研究所 公司作为平台型半导体设备企业将引领设备国产化步伐。公司覆盖多种半导 体设备,其中在 ICP 刻蚀设备、 PVD 设备以及热处理设备方面最具优势。公司 ICP 刻蚀设备主要用于硅刻蚀和金属材料的刻蚀,28nm 制程以上刻蚀设备已经实现 产业化,在先进制程方面,公司硅刻蚀设备已经突破 14nm 技术,进入主流晶圆 厂进行验证。PVD 设备实现了国产集成电路领域高端薄膜制备设备零的突破,设 备覆盖了 90-14nm 多个制程,并成功进入国际供应链体系。热处理设备方面,北 方华创的立式炉、卧式炉设备达到国内半导体设备的领先水平,实现了较高的设 备国产化率。 3.5.2. 国产刻蚀设备的先行者:中微公司 中微公司是国产半导体刻蚀设备的领先企业。公司聚焦用于集成电路、LED 芯片等微观器件领域,深耕芯片制造刻蚀领域,研制出了国内第一台电介质刻蚀 机,公司核心产品包括用于 IC 集成电路领域的等离子体刻蚀设备和用于 LED 芯 片领域的 MOCVD 设备。 公司刻蚀设备主要为 CCP 刻蚀设备。公司从 2004 年建立起首先着手开发甚 高频去耦合的 CCP 刻蚀设备 Primo D-RIE,到目前为止已成功开发了双反应台 Primo D-RIE,双反应台 Primo AD-RIE 和单反应台的 Primo AD-RIE 三代刻蚀机 产品,涵盖 65 纳米、45 纳米、32 纳米、28 纳米、22 纳米、14 纳米、7 纳米到 5 纳米关键尺寸的众多刻蚀应用。另一方面,公司从 2012 年开始开发 ICP 刻蚀设 备,到目前为止已成功开发出单反应台的 Primo nanova 刻蚀设备,同时着手开 39 / 45 请务必阅读正文之后的信息披露和法律声明
40. 行业深度报告 发双反应台 ICP 刻蚀设备。 图 81:中微公司 Primo HD-RIE 系列 CCP 刻蚀机 图 82:中微公司 Primo nanova 系列 ICP 刻蚀机 资料来源:中微公司官网,德邦研究所 资料来源:中微公司官网,德邦研究所 公司刻蚀设备已经进入国内外先进制程生产线。中微公司是国内唯一进入台 积电先进制程生产线的国产设备厂商, 2017 年中微刻蚀设备进入台积电先进 7 纳 米生产线,5nm 制程正在展开合作。同时,公司的刻蚀设备进入了长江存储、华 虹宏力等国内晶圆制造厂商。 图 83:中微公司营业收入 25 图 84:中微公司归母净利润 营业收入(亿元) 同比增速 20 80% 4.0 70% 3.0 60% 10 5 250% 150% 40% 1.0 50% 30% 0.0 -50% 20% -1.0 10% 0 同比增速 2.0 50% 15 归母净利润(亿元) -150% -2.0 0% 2016 2017 资料来源:WIND,德邦研究所 2018 2019 2020Q1-Q3 -3.0 -250% 资料来源:WIND,德邦研究所 公司营业收入和净利润保持高速增长。受益于国内晶圆代工和存储产线持续 增长的资本支出,公司在过去三年营业收入和净利润高速增长。 2020 年前三季度, 公司实现营业收入 14.76 亿元,同比增长 21.2%,实现归母净利润 2.77 亿元,同 比增长 105%。 公司作为国产刻蚀设备龙头,将充分受益于先进制程和 3D NAND 发展。随 着先进制程尺寸发展,对于刻蚀次数和刻蚀工艺的要求越来越高。工艺节点不断 减小,对于刻蚀设备刻蚀速率、各异向性、选择比、深宽比等技术参数要求也越来 越高。另一方面,3D NAND 层数的不断增加,对刻蚀的高深宽比提出了更高的要 求。综合来看,刻蚀设备未来在晶圆厂的资本支出中占比将逐渐提高,公司将深 度受益。 3.5.3. 深耕测试机领域的国产设备商:华峰测控 华峰测控是国内最大的半导体测试机本土供应商。公司也是为数不多进入国 际封测市场供应商体系的中国半导体设备厂商,主营业务为半导体自动化测试系 统的研发、生产和销售,产品主要用于模拟及混合信号类集成电路的测试。公司 自成立以来,始终专注于半导体自动化测试系统领域,以其自主研发的产品实现 了模拟及混合信号类集成电路自动化测试系统的进口替代。 40 / 45 请务必阅读正文之后的信息披露和法律声明
41. 行业深度报告 图 85:华峰测控 STS8200 测试机 图 86:2019 年华峰测控业务构成 其他业务, 0.99% 配件, 6.58% 测试系统, 92.44% 资料来源:华峰测控官网,德邦研究所 资料来源:WIND,德邦研究所 华峰测控专注于模拟测试机领域,国内市占率约 60%。公司专注于模拟测试 件和数字混合模拟测试机的研发,在国内多个知名企业取得订单,并且产品成功 进入国际封测厂商。封测领域的客户主要包括长电科技、通富微电、华天科技、日 月光集团;芯片设计领域的客户包括华为、芯源系统; IDM 领域包括意法半导体、 华润微电子等。 图 87:华峰测控营业收入 3.5 图 88:华峰测控归母净利润 营业收入(亿元) 同比增速 3.0 50% 1.6 40% 2.5 2.0 30% 1.5 20% 1.0 10% 0.5 0.0 2017 2018 2019 80% 70% 1.2 60% 1.0 50% 0.8 40% 0.6 30% 0.4 20% 0.2 10% 0% 2016 2020Q1-Q3 资料来源:WIND,德邦研究所 同比增速 0.0 0% 2016 归母净利润(亿元) 1.4 2017 2018 2019 2020Q1-Q3 资料来源:WIND,德邦研究所 公司营收盈利稳定增长,毛利率保持较高水平。公司 2020 年前三季度实现 营业收入 2.93 亿元,同比增长 45.5%, 实现归母净利润 1.37 亿元, 同比增长 68%, 营业收入和归母净利润均已经超过 2019 年全年水平。公司始终保持着 80%左右 的整体毛利率,净利率也在 40%以上,盈利能力强。 图 89:华峰测控盈利水平 100% 图 90:华峰测控研发费用 毛利率 0.5 净利率 16% 研发费用(亿元) 14% 80% 0.4 60% 0.3 40% 0.2 20% 0.1 0% 0.0 12% 10% 8% 6% 4% 2% 2016 2017 资料来源:WIND,德邦研究所 2018 2019 0% 2016 2020Q1-Q3 2017 2018 2019 2020Q1-Q3 资料来源:WIND,德邦研究所 募投项目为公司打开成长空间。公司持续保持较高的研发投入,研发费用率 始终保持在 11%以上。 2020 年 2 月公司于科创板上市,募集资金用于扩大主力产 41 / 45 请务必阅读正文之后的信息披露和法律声明
42. 行业深度报告 品模拟测试机生产规模,同时发展 SoC 和大功率测试机测试机业务。项目建成后 将达到年产 800 台模拟及混合信号类 ATE 和年产 200 台 SoC 类 ATE 的生产能 力,为公司打开新的成长空间。 表 30:华峰测控募投项目(万元) 序号 项目名称 项目总投资额 拟使用募资金额 集成电路先进测试设备产业化基地建设项目 65,589.68 65,589.68 1.1 生产基地建设 35,706.94 35,706.94 1.2 研发中心建设 19,978.85 19,978.85 1.3 1 建设周期 24 个月 营销服务网络建设 9,903.89 9,903.89 2 科研创新项目 24,410.32 24,410.32 / 3 补充流动资金 10,000.00 10,000.00 / 资料来源:华峰测控招股说明书,德邦研究所 42 / 45 请务必阅读正文之后的信息披露和法律声明
43. 行业深度报告 4. 行业评级 半导体设备行业动态市盈率达 133.5。自美国对我国半导体行业实行制裁以 来,半导体设备行业关注度持续提升。2019 年以来,在政策和资金的支持下,半 导体设备行业发展迅速,估值水平也持续提升。2019 年上半年半导体设备指数成 分股平均市盈率在 30~60 区间,2019 年下半年行业估值持续上升,2020 年下半 年估值开始回落,过去三个月行业 PE 在 120~140 区间波动,最新动态市盈率为 133.5,仍然处于较高水平。 图 91:半导体设备行业市盈率(截至 2021.01.05) 250 市盈率(TTM) 200 150 100 50 2020-02-14 2020-02-28 2020-03-13 2020-03-27 0 资料来源:WIND,德邦研究所 自 2019 年以来,半导体设备行业指数累计增长 242%,同期上证指数增长 40.3%。从行业整体而言,将持续受益于半导体设备国产化,而行业指数已处于较 高位置,因此我们认为行业整体未来表现将与市场整体走势相当,因此给与半导 体设备行业“中性”评级。细分行业龙头由于技术等优势,可能取得较好的表现, 建议关注中微公司、北方华创和华峰测控等细分领域龙头。 图 92:2019 年至今半导体设备行业指数和上证综合指数收益率对比(截至 2021.01.05) 350% 半导体设备 上证综指 300% 250% 200% 150% 100% 50% 0% 资料来源:WIND,德邦研究所 43 / 45 请务必阅读正文之后的信息披露和法律声明
44. 行业深度报告 5. 风险提示 下游晶圆厂资本支出不及预期。半导体行业具备一定的周期性,下游晶圆厂 的资本支出可能随行业周期波动而发生变化,进而影响晶圆厂的设备投资计划, 对半导体设备行业公司业务造成影响。 研发进度不及预期。先进制程半导体设备技术壁垒较高,技术突破难度较大, 研发周期较长,国产半导体设备公司先进制程设备在短期内可能无法实现产业化。 美国加强技术封锁。美国如果进一步加强对半导体技术和设备的封锁,可能 导致国内晶圆厂业绩下滑,进而投资放缓,导致设备采购延期,对国产半导体设 备公司业务产生不利影响。 44 / 45 请务必阅读正文之后的信息披露和法律声明
45. 行业深度报告 信息披露 分析师声明 本人具有中国证券业协会授予的证券投资咨询执业资格,以勤勉的职业态度,独立、客观地出具本报告。本报告所采用的数据和信 息均来自市场公开信息,本人不保证该等信息的准确性或完整性。分析逻辑基于作者的职业理解,清晰准确地反映了作者的研究观 点,结论不受任何第三方的授意或影响,特此声明。 投资评级说明 [Table_RatingDescription] 1. 投资评级的比较和评级标准: 以报告发布后的 6 个月内的市场表 现为比较标准,报告发布日后 6 个 月内的公司股价(或行业指数)的 涨跌幅相对同期市场基准指数的涨 跌幅; 2. 市场基准指数的比较标准: A 股市场以上证综指或深证成指为基 准;香港市场以恒生指数为基准;美 国市场以标普 500 或纳斯达克综合指 数为基准。 类 别 股票投资评 级 行业投资评 级 评 级 买入 增持 说 相对强于市场表现 20%以上; 相对强于市场表现 5%~20%; 明 中性 减持 相对市场表现在-5%~+5%之间波动; 相对弱于市场表现 5%以下。 优于大市 预期行业整体回报高于基准指数整体水平 10%以上; 中性 预期行业整体回报介于基准指数整体水平-10%与 10%之间; 弱于大市 预期行业整体回报低于基准指数整体水平 10%以下。 法律声明 本报告仅供德邦证券股份有限公司(以下简称“本公司” )的客户使用。本公司不会因接收人收到本报告而视其为客户。在任何情况 下,本报告中的信息或所表述的意见并不构成对任何人的投资建议。在任何情况下,本公司不对任何人因使用本报告中的任何内容 所引致的任何损失负任何责任。 本报告所载的资料、意见及推测仅反映本公司于发布本报告当日的判断,本报告所指的证券或投资标的的价格、价值及投资收入可 能会波动。在不同时期,本公司可发出与本报告所载资料、意见及推测不一致的报告。 市场有风险,投资需谨慎。本报告所载的信息、材料及结论只提供特定客户作参考,不构成投资建议,也没有考虑到个别客户特殊 的投资目标、财务状况或需要。客户应考虑本报告中的任何意见或建议是否符合其特定状况。在法律许可的情况下,德邦证券及其 所属关联机构可能会持有报告中提到的公司所发行的证券并进行交易,还可能为这些公司提供投资银行服务或其他服务。 本报告仅向特定客户传送,未经德邦证券研究所书面授权,本研究报告的任何部分均不得以任何方式制作任何形式的拷贝、复印件 或复制品,或再次分发给任何其他人,或以任何侵犯本公司版权的其他方式使用。所有本报告中使用的商标、服务标记及标记均为 本公司的商标、服务标记及标记。如欲引用或转载本文内容,务必联络德邦证券研究所并获得许可,并需注明出处为德邦证券研究 所,且不得对本文进行有悖原意的引用和删改。 根据中国证监会核发的经营证券业务许可,德邦证券股份有限公司的经营范围包括证券投资咨询业务。 45 / 45 请务必阅读正文之后的信息披露和法律声明

Home - Wiki
Copyright © 2011-2024 iteam. Current version is 2.129.0. UTC+08:00, 2024-07-04 00:00
浙ICP备14020137号-1 $Map of visitor$