半导体行业专题报告:封测行业研究框架

如果无法正常显示,请先停止浏览器的去广告插件。
分享至:
1. 证券研究报告 半导体行业 2020年7月21日 封测行业研究框架 ——专题报告 分析师: 联系人: 陈杭 李萌 执业证书编号:S1220519110008
2. 核心要点  科技迭代,封测行业景气来临。由于存储器价格企稳和智能手机出货回升,封测行业整体于 2019年三季度呈现逐步回暖态势,国内主流封测厂盈利能力已进入上升通道。展望 2020年,在 5G、AI、数据中心等领域带动存储器、HPC、基频等半导体芯片的需求下,全球半导体销售额 预计同比增长3.3%,封测行业也将迎来新一轮的景气周期。2020年Q1主流封测公司业绩全部 兑现,整体表现优异。  延续摩尔,先进封装需求旺盛。极小尺寸下,芯片物理瓶颈越来越难以克服,随着先进节点走向 10nm、7nm、5nm,研发生产成本持续走高,良率下降,摩尔定律趋缓,半导体行业逐渐步入 后摩尔时代。先进封装技术不仅可以增加功能、提升产品价值,还有效降低成本,成为延续摩尔 定律的关键。 其中,SiP(系统级封装)兼具低成本、低功耗、高性能、小型化和多元化的优势 ,未来在摩尔定律失效后,或将扛起后摩尔时代电子产品继续向前发展的大旗。  国产替代,产业转移受益明确。在大国博弈的背景下,半导体行业将长期持续国产替代的主题, 随着上游的芯片设计公司选择将订单回流到国内,具备竞争力的封测厂商将实质性受益。封测作 为我国半导体领域优势最为突出的子行业,随着大批新建晶圆厂产能的释放以及国内主流代工厂 产能利用率的提升,将带来更多的半导体封测新增需求,受益明确。据SEMI 称,到2020 年, 全球将有18个半导体项目投入建设,中国大陆在这些项目中占了11 个,总投240亿美元。  格局解读,优质标的价值分析。目前,全球封测市场中国台湾、中国大陆以及美国三足鼎立,中 国大陆近年来通过收购快速壮大,市场份额为 20.1%,国内龙头厂商已进入国际第一梯队。看 好行业景气回升,受益5G终端发展、业绩表现优异的半导体封测公司,建议关注:长电科技、 通富微电、华天科技、晶方科技、太极实业、深科技。
3. 目录 一、封测行业投资逻辑框架 科技迭代,封测行业景气来临 延续摩尔,先进封装需求旺盛 国产替代,产业转移受益明确 格局解读,优质标的价值分析 二、封测概览:5G环境下的封测解决方案 三、全球封测:景气复苏,三足鼎立 四、国产封测:发展迅猛,坚韧前行
4. 科技迭代,封测行业景气来临  2018年封测行业景气低迷。受到下游衰退诱发,全球主要封测企业利润率水平在2018年均有不 同程度的下滑,行业头部企业日月光毛利率跌落至2010年以来的低点。 2019年Q3以来,由于 存储器价格企稳和智能手机出货略有回升,封测行业整体呈现逐步回暖态势。  2020年封测行业景气来临。展望2020年,从全球科技产业周期的角度来看,在 5G、IoT、服务 器、AI等领域带动存储器、HPC、基频等半导体芯片的需求下,2020年全球半导体销售额预计 增长3.3%,由去年的4123亿美元增至4260亿美元,封测行业也将迎来新一轮的景气周期。 2020年Q1主流封测公司业绩全部兑现,整体表现优异。 封测行业周期 手机见顶 2018 资料来源:WSTS,方正证券研究所整理 19Q3 2020
5. 延续摩尔,先进封装需求旺盛  极小尺寸下,芯片物理瓶颈越来越难以克服。随着先进节点走向10nm、7nm、5nm,研发生产 成本持续走高,良率下降,摩尔定律趋缓,半导体行业逐渐步入后摩尔时代。  在进入后摩尔时代,半导体制造龙头企业也已开始从过去着力于晶圆制造技术节点的推进,逐渐 转向系统级封装技术的创新,先进封装技术开始扮演愈加重要的角色。先进的半导体封装技术不 仅可以增加功能、提升产品价值,还有效降低成本,成为延续摩尔定律的关键。 其中,SiP(系 统级封装)兼具低成本、低功耗、高性能、小型化和多元化的优势,未来在摩尔定律失效后,或 将扛起后摩尔时代电子产品继续向前发展的大旗。 资料来源:IBS,电子发烧友,方正证券研究所
6. 国产替代,产业转移受益明确  在大国博弈的背景下,半导体行业将长期持续国产替代的主题。封测作为我国半导体领域优势最 为突出的子行业,在当前国产半导体产业链中,国产化程度最高、行业发展最为成熟。随着上游 的芯片设计公司选择将订单回流到国内,具备竞争力的封测厂商将实质性受益。  据SEMI 称,到2020 年全球将有18个半导体项目投入建设,中国大陆在这些项目中占了11 个,总 投240亿美元。随着大批新建晶圆厂产能的释放以及国内主流代工厂产能利用率的提升,晶圆厂的 产能扩张也势必蔓延至中下游封装厂商,将带来更多的半导体封测新增需求,封测行业受益明确 。 国产芯片产业链 EDA IP IC设计 掩膜制造 上游 资料来源:芯通社,方正证券研究所 半导体设备制造 IC制造 封装测试 半导体材料、化学品 中游 下游 整机厂商
7. 格局解读,优质标的价值分析 排名 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 1 日月光 日月光 日月光 日月光 日月光 日月光 日月光 日月光 日月光 日月光 日月光 2 安靠 安靠 安靠 安靠 安靠 安靠 安靠 安靠 安靠 安靠 安靠 3 矽品 矽品 矽品 矽品 矽品 矽品 矽品 长电 科技 长电 科技 长电 科技 长电 科技 4 星科 金朋 星科 金朋 星科 金朋 星科 金朋 星科 金朋 星科 金朋 长电 科技 矽品 矽品 矽品 矽品 5 Ibiden Ibiden 力成 科技 力成 科技 力成 科技 力成 科技 力成 科技 力成 科技 力成 科技 力成 科技 力成 科技 6 Shinko Shinko 联合 科技 J-devices J-devices 长电 科技 J-devices 华天 科技 华天 科技 通富 微电 通富 微电 7 力成 科技 力成 科技 J-devices 联合 科技 长电 科技 J- devices 联合 科技 通富 微电 通富 微电 华天 科技 华天 科技 8 欣兴 电子 欣兴 电子 南茂 科技 长电 科技 联合 科技 联合 科技 南茂 科技 京元 电子 京元 电子 联合 科技 京元 电子 9 南亚 南亚 长电 科技 南茂 科技 南茂 科技 南茂 科技 欣邦 联合 科技 联合 科技 京元 电子 联合 科技 10 SEMCO SEMCO 欣邦 欣邦 欣邦 欣邦 STS 南茂 科技 南茂 科技 欣邦 南茂 科技  从上图封测排名演变可以看出:长电科技发展迅猛,未来或挑战日月光与安靠龙头地位,通 富微电、华天科技近几年发展形势良好,国内龙头厂商已进入国际第一梯队,建议关注封测 行业景气回升,受益5G终端发展、业绩表现优异的半导体封测公司。 资料来源:拓墣产业研究院,中国半导体论坛,方正证券研究所
8. 国内封测产业链 晶圆 减薄机 国 内 封 测 产 业 链 兰新 高科 封 装 设 备 深圳 方达 晶圆划片机 中电 45所 沈阳 仪器 汇盛 电子 兰新 高科 引线键合机 封装代工厂 中电 45所 成都 宇芯 大族激光 分选机、测试机 测 长川 试 科技 设 备 格兰仕 探针机 长电 科技 华为 苹果 通富 微电 华天 科技 北京 华峰 中电 45所 北方 华创 晶方 科技 上海 睿励 瑞科 仪器 华荣 集团 深 科技 资料来源:金智创新,方正证券研究所 设计厂商 AMD 三星 联发科 豪威 汇顶
9. 全球封测产业链 全 球 封 测 产 业 链 晶圆 减薄机 晶圆 划片机 日本 德国 OEG 封 DISCO 装 设 备 德国 G&N 日本 DISCO 分选机、测试机 日本 测 美国 试 泰瑞达 爱德万 设 美国 备 安捷伦 资料来源:金智创新,方正证券研究所 引线键合机 ASMP 美国 奥泰 贴片机塑封压机 ASMP 探针机 德国 Ingun 美国 QA 封装代工厂 日月光 安靠 设计厂商 高通 三星 苹果 博通 力成 科技 京元 电子 英特尔 AMD 索尼 美国 MicroXact 联合 科技 英伟达
10. 目录 一、封测行业投资逻辑框架 二、封测概览:5G环境下的封测解决方案 封装为主,测试为辅 传统封装与先进封装 5G爆发,先进封装大势所趋 三、全球封测:景气复苏,三足鼎立 四、国产封测:发展迅猛,坚韧前行
11. 核心要点  封装为主,测试为辅。集成电路封装测试包括封装和测试两个环节。封装是保护芯 片免受物理、化学等环境因素造成的损伤,增强芯片的散热性能,实现电气连接, 确保电路正常工作;测试主要是对芯片产品的功能、性能测试,将功能、性能不符 合要求的产品筛选出来。其中,封装环节价值占比约为80%~85%,测试环节价值 占比约15%~20%。  传统封装与先进封装。在业界先进封装技术与传统封装技术以是否焊线来区分,目 前封测行业正在经历从传统封装(SOT、QFN、BGA等)向先进封装(FC、FIWLP 、FOWLP、TSV等)的转型。先进封装技术在提升芯片性能方面展现的巨大优势, 吸引了全球各大主流IC封测厂商在先进封装领域持续投资布局。  5G爆发,先进封装大势所趋。5G技术为智慧生活提供了丰富的应用场景,同时也 给集成电路产业带来了前所未有的挑战和机遇。针对5G技术高密度、高速率、高可 靠性、低功耗和低时延的特点,将催生出一系列复杂的微系统封装形式。系统级封 装技术Sip&Chiplet等先进封装技术成为目前应对5G通信的主流解决方案。
12. 封测:集成电路产业链必不可少的环节  集成电路封装测试包括封装和测试两个环节。封装是保护芯片免受物理、化学等环境因素造 成的损伤,增强芯片的散热性能,实现电气连接,确保电路正常工作;测试主要是对芯片产 品的功能、性能测试等,将功能、性能不符合要求的产品筛选出来。  全球集成电路企业主要分为两类,一种是涵盖集成电路设计、制造以及封装测试为一体的垂 直整合型公司(IDM公司),例如三星、英特尔、海力士等独立专业化的公司。  另外一种则是将IDM公司进行拆分形成独立的公司,可以分为IC设计公司、晶圆代工厂及封 装测试厂,全球知名封装测试厂包括安靠、日月光、长电科技、通富微电等。 封 测 行 业 市 场 份 额 占 比 资料来源:晶方科技招股说明书,方正证券研究所 封装环节占比约 80%~85% 测试环节占比约 15%~20%
13. 传统封测流程概览  传统封测的主要生产过程包括:晶圆切割、将晶圆上每一晶粒加以切割分离。粘晶( Die-Attach)将切割完成的晶粒放置在导线架上。焊线(Wire Bond)将晶粒信号接点 用金属线连接至导线架上。封胶,将晶粒与外界隔绝。检切/成型,将封胶后多余的残胶 去除,并将导线架上IC加以检切成型。印字,在IC表面打上型号、生产日期、批号等信 息。检测,测试芯片产品的优劣。 资料来源:西南邮电大学,方正证券研究所
14. 传统封装技术:DIP封装与BGA封装  传统的封装技术有很多种,目前最常见的两种有:双排直立式封装DIP(Dual Inline Package)与球格阵列封装BGA(Ball Grid Array)。  DIP封装为最早采用的IC封装技术,具有成本低廉的优势,适合小型且不需接太多线的芯 片。但由于大多采用塑料材质,散热效果较差,无法满足现行高速芯片的要求。因此使 用DIP封装的,大多是历久不衰的芯片。  BGA封装,和DIP封装相比体积较小,可以轻易地放入体积较小的装置中。由于接脚位在 芯片下方,相比DIP封装可容纳更多的金属接脚,适合需要较多接点的芯片。然而,采用 这种封装法成本较高且连接的方法较复杂,因此大多用在高单价的产品上。 采用DIP封装和BGA封装的IC芯片 左图的IC芯片为OP741,是常见的电压放大 器。右图为它的剖面图,这个封装是以金线将 芯片接到金属接脚(Leadframe)。 资料来源:电子发烧友,Wikipedia,方正证券研究所 左图为采用BGA封装的芯片。右图为使用覆 晶封装的BGA示意图。
15. 封装技术变迁:从传统封装到先进封装  在业界先进封装技术与传统封装技术以是否焊线来区分,先进封装技术包括FC BGA、FC QFN、2.5D/3D、WLCSP、Fan-Out等非焊线形式。先进封装技术在提升芯片性能方面 展现的巨大优势,吸引了全球各大主流IC封测厂商在先进封装领域持续投资布局。  目前封测行业正在经历从传统封装(SOT、QFN、BGA等)向先进封装(FC、FIWLP、 FOWLP、TSV等)的转型。先进封装技术效率高,芯片向着更小、更薄的方向演进,均 摊成本更低,可实现更好的性价比,缺点是前期投入较大,需要规模效应来降低成本。 半导体封装技术演进路径 先进封装 →向高性能、高 密度、低成本、 集体晶圆级封装 技术标准迈进 资料来源:Yole,方正证券研究所
16. 封测行业的大趋势:先进封装技术  得益于对更高集成度的广泛需求,以及5G、消费电子、物联网、人工智能和高性能计算 HPC等大趋势的推动,先进封装逐步进入其最成功的时期。  目前倒装芯片(Flip-Chip)占主导地位,但3D IC堆叠和扇出型封装是增长最快的先进 封装技术。2018年倒装芯片占先进封装市场的81%,预计2024年,其市场份额将下降至 约72%。在各个先进封装平台中,3D IC堆叠和扇出型封装将以约26%的速度增长,在各 个领域的应用将持续增长。 适用于5G时代的先进封装解决方案 • 倒装芯片SiP中有10-15个 模具 • 硅和III/V前端(即PA)的 混合 • 倒装芯片球或铜柱 • 功率放大器仍金属丝粘合 加强的倒装芯 片基底SiP 资料来源:Semicon CHINA,方正证券研究所 玻璃基底
17. 封装技术发展趋势 移动时代 PC时代 2D MCM 多芯片模块 AI/HPC时代 3D SiP(同质) FOSiP PoF/C FBGA FOPLP-PoP BOC W/B FBGA 3D SiP(异质) I-PoP 2.5D RDL中介层 陶瓷W/B PoP 2.5D 硅中介层  与PC和移动互联网时代的封装技术相比,AI/HPC时代的封装技术专注于芯片之间的高密度和 高带宽信号互连  高度集成的先进封装技术是技术发展趋势 资料来源:SEMI,华天科技,方正证券研究所整理
18. 先进封装技术:Flip-Chip & Bumping  Flip-chip又称倒装片,是在I/O pad上沉积锡铅球,然后将芯片翻转加热利用熔融的锡铅 球与陶瓷基板相结合,此技术替换常规打线接合,逐渐成为未来的封装主流。当前主要 应用于高时脉的CPU、GPU(Graphic Processor Unit)及Chipset等产品。  Flip-Chip封装技术与传统的引线键合工艺相比具有许多明显的优点,包括优越的电学及 热学性能、高I/O引脚数、封装尺寸减小等。 Flip-Chip流程图 资料来源:Wikipedia,方正证券研究所
19. 先进封装技术:Flip-Chip & Bumping  Bumping技术:通过在芯片表面制作金属凸块提供芯片电气互连的“点”接口,反应了 先进制程以“点替代线”的发展趋势,是Flip-Chip技术中的关键环节。  Bumping提供了芯片之间、芯片和基板之间的“点连接”,避免了传统Wire Bonding 向四周辐射的金属“线连接”,减小了芯片面积,此外凸块阵列在芯片表面,引脚密度 可以做得很高,便于满足芯片性能提升的需求。 Bumping生产工艺图 无铅焊料电镀 晶粒层 1)晶圆 光刻胶 2)晶粒层形成 焊料凸点 4)光刻胶去除、晶 粒层蚀刻 5)回流,凸点形成 资料来源:ICNET,方正证券研究所 3)光刻胶形成、焊 料电镀 Bump分为焊料与非焊料两大类, 按制作方法分为焊料凸点、金凸 点、聚合物凸点。凸点工艺直接 影响到倒装技术的可行性和性能 的可靠性。焊锡球是最常见的凸 点材料。 对于高密度的互联及细间距的应 用,铜柱是一种新型的选择。连 接时,焊锡球会扩散变形,而铜 柱会很好的保持其原始形态,因 此铜柱可用于更密集的封装,目 前铜柱技术发展最为迅速。
20. 先进封装技术:晶圆级封装WLP  晶圆级封装,即多个裸片在晶圆上同时被封装。由于整个晶圆现在是一次性封装,因此 该解决方案比传统封装方案成本更低。此外,所得封装后芯片尺寸更小、更薄,这是智 能手机等尺寸敏感设备非常看重的。  两种类型的晶圆级封装:扇入式(Fan-in)和扇出式(Fan-out),它们的区别主要在重 分布层中,重分布层用于将裸片的接口重新布线到所需的位置。扇入就是重分布层向内 布线,形成一个非常小的封装。重分布工艺还可以用于扩展封装的可用区域,延伸芯片 触点到超出芯片尺寸,就形成了扇出式封装。 扇出封装技术发展历程 扇入式 WLP Chip-first Face Down Fan-Out (历史悠久,产量高) 扇出式 WLP 蓝色为芯 黄色为 塑封区 扇入式WLP 早期扇出供应商 扇出式WLP 资料来源:先进封装技术综述,Yole,方正证券研究所 Chip-Last Fan-Out Chip-first Face Up Fan-Out 现今扇出供应商 (追求炒作,产量不 高)
21. 扇出式WLP的应用和发展趋势 基带处理器 生物/医学设备 NAND 存储控制器 RF-MEMS 调谐器 射频收发器 集成电源管理电路 近距离无线通信 高级驾驶辅助 系统雷达模块 毫米波 单片集成电路 触屏控制器 连接器 音讯编解码器 安保设备 传感器 FPGA 应用处理器 微控制器 存储 小型化、集成 化、性能化、可 靠化、成本化 高密度FOWLP • 对于SiP,更好的可扩展性、 3D兼容流程和扩展平台 低密度FOWLP • 对于MCM,SiP和3D,有 限的可扩展性和平台延伸性 资料来源:Semicon CHINA,方正证券研究所 高级晶圆SiP • 逻辑到内存或逻辑 • 扇出模块连接到基板上, 作为2.5D TSV的替代品
22. 先进封装技术:硅通孔技术TSV  硅通孔(Through Silicon Via)技术是一项高密度封装技术,正在逐渐取代目前工艺比 较成熟的引线键合技术,被认为是第四代封装技术。  TSV技术通过铜、钨、多晶硅等导电物质的填充,实现硅通孔的垂直电气互连。硅通孔技 术可以通过垂直互连减小互联长度,减小信号延迟,降低电容/电感,实现芯片间的低功 耗,高速通讯,增加宽带和实现器件集成的小型化。 接点与 互连层 TSV封装外形及采用TSV封装的存储器外形一览 互连引线 裸芯片 硅通孔 TSV 存储器 封装 基板 基板 PCB TSV技术发展趋势预测 CMOS图像传感器 3D堆叠存储器 (传感器+DSP+RAM) (NAND,DRAM,…) 过孔尺寸~50μm 过孔尺寸~5~30μm 逻辑电路 (带缓存的多核处理器) 多级3D IC (CPU+cache+DRAM+ Analog+RF+sensor+I/O) CMOS 上的垂 直器件 过孔尺寸≤5μm 过孔尺寸≤2μm 资料来源:51电子网,方正证券研究所
23. 先进封装技术:系统级封装SiP技术  系统级封装(System In a Package)是将多种功能晶圆,包括处理器、存储器等功能晶 圆集成在一个封装内,从而实现一个基本完整的功能,是IC封装领域最高端的新型封装 技术。  SiP作为一种全新的集成技术,具有一系列独特的技术优势,满足了当今电子产品更轻、 更小和更薄的发展需求,在微电子领域具有广阔的应用市场和发展前景。 摩尔定律与超越摩尔定律 SiP技术概念图 More than Moore:Diversification More Moore: Miniaturization 模拟/射频 Passives 高压电源 传感器 执行器 与人和环境互动 CMOS 基线: CPU、 存储、 逻辑。 非数字内容SiP 信息处理 数字内容 SoC 超越CMOS 资料来源:ITRS,长电科技官网,方正证券研究所 生物芯片
24. 系统级封装SiP技术的优势  SiP系统级封装是一种能够满足当前和未来需求的高性能封装解决方案,系统级封装拥有 更好的系统性能、更广泛的功能性以及更小的外形,且应用功耗更低。  SiP是一个功能性电子系统或者子系统包括两个或多个异质半导体芯片(通常从不同的技 术节点为各自优化功能),通常使用被动元件。SiP能够解决SoC芯片的一些局限性问题 :包括设计复杂、开发周期长、知识产权(IP)和法律问题、上市时间较长和成本较高 。SiP提供了一种高性能的封装解决方案,具有可扩展性、经济高效、易于在电子设备中 实现的特点。 相比单独包装的部件更薄/更小 系 统 级 封 装 的 优 势 SiP架构图 性能和功能集成提高 设计灵活 电磁干扰隔离更好 主板空间复杂性减少 电池空间更大 简化SMT组装过程 “即插即用”经济高效 上市时间更短 一站式解决方案 资料来源:长电科技官网,中国电子网,方正证券研究所
25. 系统级封装-半导体产业链融合的产物 传统封装与先进封装 半导体产业链融合现象 扇出 无底物 材料/设备 WLCSP 引线 键合 有机 底物 FC 引线键 合 BGA COB CSP BOC LGA WB CSP CSP FCBGA BGA 基质F O LGA 2.5D/2.1D OFN/OFP SOIC 3D FC FC OFN 引线键合 HI ReI FC HTCC LTCC 芯片设计 芯片制造 终端组装 封装测试 SiP IP 从传统封装 向高级封装 渗透 基质/PCB 供应商 EMS/ ODM 竞 争者 TSOP ICC DIP 引线框 陶瓷基板 EDA 传统封装 先进封装 资料来源:SEMI,歌尔股份,方正证券研究所整理 • 封装业务传统上属于 代工厂 OSATs&IDMs IC封装业务总计 •来自不同业务模式的参与者 $65B(2018) 正在进入封装业务并在 OSAT业务中大放异彩
26. 先进封装技术的优点和困境  优势:解决了传统芯片设计很多限制,把许多过去必须用不同制程和封装分开制造的元 件,整合在同一颗芯片上,解决了主板布局大小、性能差等问题。另外,芯片本身尺寸 越来越小使得堆叠封装技术得以发展。因此先进封装将是封装技术主流发展方向。  困境:1)不同封装类型的芯片裸晶在封装过程中的对准相当困难;2)内存裸晶的晶格 有方向性,电流充电也有一定的方向,使得内存在方向安排与排序方面需要有严格的定 义;3)摩尔定律到达了瓶颈,需要更多新材料技术的推动;4)芯片晶圆采用的仍然是 相对传统的回流焊接工艺,需要材料学方面的更新以去除不需要的助焊剂;5)选择合适 的底部填充材质以及环氧模塑化合物,并维持温度的均匀性,避免压力不均。 封装技术发展路径图 SiP+ (异构集合) 性能驱动 综合表现 成本驱动 资料来源:智研咨询,方正证券研究所
27. 5G时代集成电路封装技术面临的挑战 1G eMBB, URLLC eMBB,URLLC ,mMTC eMBB,URLLC ,mMTC 2G 3G 4G 高频段 5G 超过24GHz 超级数据层 至少连续的400- 800MHz/MNO 中频段 2-8GHz 解决特定的用例 需要极高的数据速率 覆盖和容量层 容量和覆盖范围之间的最佳折中 (范围广但是覆盖范围不深) 低频段 覆盖层 容量和覆盖范围之间的最佳折中 (范围广并且深度室内覆盖) 资料来源:SEMI,长电科技,方正证券研究所整理 到2020年至少连续的80- 100MHz/MNO 从2023/2025需要额外的频谱 低于2GHz 高达20MHz(配对的/不配对的) 从2023/2025需要额外的频谱
28. 5G时代:封装产业的变局者  5G包括Sub-6GHz、Sub-1GHz、mm wave 28-60GHz。这些新的无线电频段、低于 6Ghz的频段和毫米波给该行业带来了巨大的挑战。  5G的使用案例远远超出了手机,从物联网到自动驾驶,在数据速率和延迟灵活性方面给 网络带来了新的挑战。随着这些挑战的到来,对于市场巨头的领导地位也产生了影响。 颠覆性的封装技术创新是必要的 印刷电路板上的天线 封装上的天线 双面SiP 加强的双面SiP • • • • • 资料来源:Semicon CHINA,方正证券研究所 基于基底(有机/陶瓷)的SiP 倒装芯片 晶圆级封装 新材料:低损耗电介质,模具 电磁干扰屏蔽 一个封装内 有更多的天线 • • • • • 扇出式晶圆级封装/面板级封装 封装上的天线 基于玻璃基底的SiP 更多低损耗电介质模具等 新的电磁干扰屏蔽技术(溅射薄膜)
29. 5G对封装技术的挑战和机遇  目前5G迎来了井喷式的发展,而封装产业能否借此时机在全球高端市场站稳脚跟对国家 集成电路产业链布局极为重要,而SiP技术的发展正是我国封装企业最好的机会。  为了满足5G的发展需求,晶圆制造厂提出了SoC(系统级芯片)解决方案,但是SoC高 度依赖于EUV极紫外光刻机这样既昂贵又稀少的设备,良率提升难度较大。为了满足多 芯片互联、低功耗、低成本及小尺寸的需求,SiP应运而生,从封装的角度出发,将多种 功能芯片(如处理器、存储器等)集成在一个封装模块内,成本相对SoC大幅度降低。 5G终端对射频芯片的需求是4G的2倍以上 滤波器 频带 接收机发射机滤波器 射频开关 载波聚合 4G手机 40个 15个 30个 10个 5个 5G手机 70个 30个 75个 30个 200个 大量增长的射频器件进一步挤压了设备的内部空间,对更小尺寸的先进封装需求强烈。 高性能逻辑 低性能逻辑 模拟/射频 封装基板 SoC(单芯片封装) 资料来源:维库,方正证券研究所 节点#1 节点#1 (28nm) (28nm) 节点#2 (>节点#1) 节点#3 (>节点#2) SiP基板 SiP(多芯片封装)
30. 全球封装技术发展趋势  半导体产业正处于转折点。CMOS技术发展速度放缓,加上成本不断上升,促使业界依靠 IC封装来维持摩尔定律的进展,先进封装以其独特的优势已经进入最成功的时期。  市场研究和战略咨询公司Yole最新研究预测指出,先进封装将保持成长趋势,在2018- 2024年间,将以8%的年复合成长率成长,到2024年达到近440亿美元。相反,在同一时 期,传统封装市场仅以2.4%的年复合成长率成长,而整个IC封装产业CAGR约为5%。 2018-2024年先进封装产业规模预测(百万美元) 先进封装收入占总封装市场的百分比 100% 90% 营 业 收 入 同 比 增 长 率 先 80% 进 封 70% 装 60% 在 50% 总 封 40% 装 30% 占 20% 比 50.3% 57.9% 49.7% 42.1% 10% 0% 先进封装 资料来源:Yole,半导体行业观察,方正证券研究所 其他封装
31. 中国封装技术发展趋势  中国IC封装业起步早、发展快,但目前仍以传统封装为主。虽然近年中国本土先进封测四强 (长电、通富、华天、晶方)通过自主研发和兼并收购,已基本形成先进封装的产业化能力 ,但总体先进封装技术水平与国际领先水平还有一定的差距。  目前中国封装产业所面临的问题:  1、中国先进封装营收占总营收比例约为25%,低于全球水平  2、中国封装企业在高密度集成等先进封装方面与国际领先水平仍有一定差距  未来中国先进封装格局的变化趋势:  近几年的并购经历让中国封测企业快速发展,得到了技术和市场,但由于中美贸易战的影响 以及可选并购标的减少,中国封测行业未来的发展方向将是:自主研发+国内整合。 2018-2019年中国先进封装营收规模及占比对比 2500 50% 2000 41% 30% 1500 30% 1000 40% 24% 500 0 全球 先进 封装 平均 占比 20% 10% 0% 2018 封测业营收(亿元) 2019E 先进封装营收(亿元) 资料来源:智研咨询,方正证券研究所 先进封装占比
32. 目录 一、封测行业投资逻辑框架 二、封测概览:5G环境下的封测解决方案 三、全球封测:景气复苏,三足鼎立 需求回暖,景气复苏 格局解读,三足鼎立 知己知彼,国际龙头剖析 四、国产封测:发展迅猛,坚韧前行
33. 核心要点  需求回暖,景气复苏。自2017年来,由于中美博弈的不确定性以及手机出货与存储器 市场下滑影响,全球半导体景气周期进入为期两年的下行周期。2019年下半年开始, 手机出货已逐步企稳回温,存储器市场亦出现见底回升迹象。此外,伴随着2020年 5G建设驶入快车道,可穿戴设备及云服务器市场稳健成长,我们认为全球半导体行业 迎来新一轮景气周期。  格局解读,三足鼎立。目前,全球封测市场中国台湾、中国大陆以及美国三足鼎立, 2019 年中国台湾占据半壁江山,市场份额为 43.9%,排名前十的企业中有六家来自 中国台湾,中国大陆近年来通过收购快速壮大,市场份额为 20.1%,相较于以往份额 有较大的提升,美国仅有安靠一家排名前十,市场份额为 14.6%。  知己知彼,国际龙头剖析。日月光集团是半导体封测行业全球龙头,其封测业务在全 球市场占有率长期位居第一,从营收来看,上半年的业绩并未因新冠疫情而产生剧烈 变化;美国安靠(Amkor)是全球最大型的外包半导体先进封装设计、组装和测试服 务的供应商之一,目前世界第二,安靠对2020年保持乐观,预计在大多数目标市场的 需求都将稳定增长。
34. 2007-2019年全球半导体景气度分析  2007-2019年,全球半导体市场规模波动变化。2009年半导体销售额因金融危机跌至2284 亿美元,后呈小幅上升趋势,于2018年达到峰值4767亿美元。2019年全球半导体市场销售 额共计4183亿美元,同比下滑12.25%,全球各大半导体厂商业绩均出现不同程度的下滑。 主要原因来自存储市场的重挫,销售额同比减少超过30%。  根据前瞻产业研究院数据显示,半导体绝大部分应用领域为消费者购买的产品,如通讯工具 (智能手机)、平板电脑等。2019年半导体产业下游应用中,通讯设备占32.93%;消费电 子(可穿戴/电视等)占13.27%。 2019年全球半导体应用领域分布情况 2007-2019年全球半导体市场规模及增长情况 6000 40% 5000 30% 4000 20% 3000 10% 2000 0% 1000 -10% 0 -20% 2007200820092010201120122013201420152016201720182019 全球半导体销售额(亿美元) 同比增长(%) 资料来源:Gartner,前瞻产业研究院,方正证券研究所 1.30% 12.18% 通讯设备 32.93% 13.27% PC电脑 工业 消费电子 11.88% 汽车 政府 28.44%
35. 2020年:全球半导体行业新景气周期  自2017年来,由于中美博弈的不确定性以及手机出货与存储器市场下滑影响,全球半导体 景气周期进入为期两年的下行周期。所幸的是2019年下半年开始,手机出货已逐步企稳回 温,存储器市场亦出现见底回升迹象。此外,伴随着2020年5G建设驶入快车道,可穿戴设 备及云服务器市场稳健成长,我们认为全球半导体行业迎来新一轮景气周期。  作为全球最大的半导体市场,我国销售额居全球首位,在半导体市场下游需求旺盛的背景下 ,国内企业有望直接受益于产业的高景气度。行业愈发庞大的销售规模为我国半导体企业带 来了机遇与挑战。 2018-2019年可穿戴设备出货量及增长率 全球公有云服务收入预测(单位:十亿美元) 2018 2019 2020 2021 2022 云业务处理服务 (BPaaS) 45.8 49.3 53.1 57.0 61.1 云应用基础架构 服务(PaaS) 15.6 19.0 23.0 27.5 31.8 云应用服务(SaaS) 80.0 94.8 110.5 126.7 143.7 云管理与安全服务 10.5 12.2 14.1 16.0 17.9 云系统基础架构 服务(IaaS) 30.5 38.9 49.1 61.9 76.6 市场总计 182.4 214.3 249.8 289.1 331.2 400 336.5 350 300 250 200 178 170.5 150 100 50 50.5 48.6 69.4 75.3 92.4 3.5 4.2 0 无线耳机 手环 智能手表 2018年出货量(百万件) 其他 2019年出货量(百万件) 资料来源:IDC,Gartner,方正证券研究所 总计
36. 服务器和5G手机需求2020年回升  数据中心资本支出增加,服务器需求回升。谷歌在近两年内资本支出最多,英特尔、微软、 亚马逊和脸书的资本支出稳定在相同的区间中,英特尔、亚马逊稳定增长,微软和脸书每季 度资本支出有所下降。资本支出和营业收入止跌反增验证行业回暖。数据中心前三家公司为 微软、谷歌、亚马逊,其资本支出主要用于数据中心建设。  随着5G手机、套餐价格持续走低,5G网络建设不断提速,2020年以来国内5G手机市场渗 透率持续提升,5G手机的需求势必会迎来新一轮的猛增。 各数据中心2018-2019年资本支出情况(亿美元) 80 60 40 20 0 Q1/18 微软 Q2/18 Q3/18 谷歌 Q4/18 亚马逊 Q1/19 戴尔 Q2/19 Q3/19 英特尔 资料来源:Wind,Canalys,方正证券研究所 Q4/19 脸书 5G手机出货量预测情况
37. 5G带动射频前端增长  5G带来射频前端增量,以此推动封测厂商业务增量。2017年4G智能手机需求量达到顶点, 市场从增量市场转变为存量市场。5G的到来将会拉动智能手机需求,从而推动市场发展。 2018年全球射频前端的市场规模为150亿美元,到2025年市场规模将会增长到258亿美元, 年复合增速将达到8%。  按照不同网络制式拆分来看,5G射频前端全球市场规模将会从2018年的0增长至2022年的 55亿美元,而LTE Advanced射频前端市场规模将会从2018年的25亿美元增长至2022年的 70亿美元,2G/3G/4G的射频前端市场规模将会从2018年的110亿美元下降至2022年的85 亿美元。 全球射频前端市场规模预测 射频前端市场规模预测情况(亿美元) 250 200 150 100 50 0 2017 2018 2019 2G/3G/4G 资料来源:Yole,Qorvo,方正证券研究所 2020 LTE Advanced 2021 5G 2022
38. OSAT趋势凸显,市场空间持续打开  随着技术升级的成本越来越高以及对IC产业生产效率的要求提升,促使整个产业逐渐向 设计、制造、封装测试分离的垂直分工模式发展。这种垂直分工的模式首先大大提升了 整个产业的运作效率;其次,将相对轻资产的设计和重资产的制造及封测分离有利于各 个环节集中研发投入,加速技术发展。  2013年以后OSAT模式的产业规模就超过了IDM模式, 随着未来下游需求趋于多样化, IDM企业由于内部产能有限而将多余的订单交付给OSAT,将推动OSAT企业快速发展。 到2023年全球OSAT市场预计将达400亿美元,同时国内晶圆厂的产能扩张将进一步释放 国内OSAT的增量市场。 全球OAST和IDM市场占比变化 全球OAST市场规模预测 60% 50% 40% 30% 20% 10% 0% 2008 2013 OSAT 2018 IDM 资料来源:Gartner,Amkor,亚化咨询,方正证券研究所
39. 全球封测行业发展趋势  芯片封装目前处于第三阶段成熟期,向第四阶段演进。芯片封装是指将Foundries生产出来 的集成电路裸片通过封装工艺使其能够连接到基板上,主要是为了固定、密封裸片,同时增 强芯片运行时的电热性能。全球封装技术的主流处于第三代的成熟期,主要是CSP、BGA封 装技术,部分厂商向第四代SiP、TSV技术演进,国内的封装龙头也紧跟国际产商发展的步 伐,向第四代技术前进。 封装主要发展阶段及主流技术 20世纪80年代以前 插孔元件时代 针脚插装PTH:插 孔安装至印制电路 板PCB上,主流技术 包括TO、SIP、DIP 引线框封装:引线替代针脚 贴装至PCB上。提高封装密 度,仍不能满足微处理器的 发展需要。该阶段主流技术 包括SOT、SOP、QFP 20世纪80年代中期 表面贴装时代 资料来源:半导体行业观察,方正证券研究所 20世纪90年代至今 面积阵列封装时代 从平面单个元件封装到立体 系统封装的突破。系统封装 主要包括SoC和SiP(发展主 流)两种。SiP技术路线从 2D封装发展至3D封装,3D SiP可划分为三个发展阶段: FC、POP、TSV 专注平面单个芯片封装微 型化,发展出基板封装、 芯片级封装、晶圆级封装 ,并配套发展出Bumping 及FC等辅助技术 21世纪 堆叠式封装时代
40. 全球封测格局:中国台湾、中国大陆、美国三足鼎立 排名 公司名称 2020Q1营收 (美元) 2020Q1营收年 增长 排名 公司名称 2020Q1营收 (美元) 2020Q1营收年 增长 1 日月光 13.55亿 21.4% 6 通富微电 3.10亿 27.1% 2 安靠 11.53亿 28.8% 7 华天科技 2.40亿 -4.0% 3 长电科技 8.18亿 22.7% 8 京元电子 2.32亿 35.9% 4 矽品 8.06亿 34.4% 9 南茂科技 1.85亿 27.8% 5 力成科技 6.24亿 33.1% 10 欣邦科技 1.77亿 16.4%  2020Q1延续中美贸易摩擦和缓的态势,在5G、AI芯片及手机等封装需求引领下,全球封测 产值持续向上,全球前十大封测厂商营收为59.03亿美元,年增25.3%。  封测龙头日月光(中国台湾)第一季度营收达13.55亿美元,年增21.4%,主要成长动能为 5G手机AiP及消费性电子等封装应用。  排名第二的安靠(美国)由于5G通讯及消费电子领域需求强劲,第一季营收年增28.8%, 达11.53亿美元。矽品同样受惠这两类应用的需求成长,第一季营收为8.06亿美元,年增高 达34.4%。  中国大陆封测三雄长电科技、通富微电及华天科技第一季营收表现主要受惠于中美关系的回 稳,逐步带动整体营收成长。  存储器封测大厂力成科技在金士顿、美光及英特尔等大厂的订单加持下,Q1营收年增 33.1%,达6.24亿美元。面板驱动IC及存储器封测大厂南茂科技,凭借大型面板驱动IC、触 控面板感测芯片等需求升温,已从2019年的第11名上升至2020Q1的第9名。 资料来源:拓墣产业研究院,方正证券研究所
41. 全球封测市场格局转变的原因:不断的并购与吸收技术  以矽品与长电科技为例对比:  矽品:2017年11月24日,中国商务部发布公告称:附加限制性条件批准日月光半导体制 造股份有限公司矽品精密工业股份有限公司30%股权。中国台湾矽品精密董事会决议出 售子公司矽品科技(苏州)有限公司30%股权,自此矽品本身排名开始下滑。  长电科技:2015年长电科技并购新加坡封测厂商星科金朋。当时,星科金朋是全球第四 大封测厂商,规模与技术远远领先长电科技。通过并购,长电科技营收规模跃居全球第 三,产品线也正式走向国际先进工艺的阵列。 2019年全球封测行业所在区域市场占有率 2015-2019年长电科技营收及增速 100% 300 其他, 250 18.80% 新加坡, 中国台湾, 2.60% 43.90% 美国, 200 68.12% 60% 40% 150 24.54% 100 14.60% 80% 77.24% 50 中国大陆, 20.10% 0% 0.00% -1.38% 0 2015年 2016年 2017年 营收(亿元) 资料来源:前瞻产业研究院,Wind,方正证券研究所 20% 2018年 同比增速 2019年 -20%
42. 全球封测龙头:日月光  日月光投资控股股份有限公司注册地中国台湾,在台交所和纽交所两地上市,为客户提供半 导体封装、测试、电子代工制造服务,其封测业务在全球市场占有率长期位居第一,主要由 子公司日月光半导体制造股份有限公司和矽品精密工业股份有限公司运营。  日月光2020年1季度实现营收973.57亿新台币,折合约33亿美元;2季度实现营收1075.49 亿新台币,折合约36.5亿美元,上半年共计69.5亿美元。从营收来看,上半年的业绩并未因 新冠疫情而产生剧烈的变化。 日月光2012-2019年营收情况(亿美元) 150 日月光2018-2019年封测营收情况(亿美元) 137.88 100 66.07 72.88 81.9 86.17 97.66 109.33 84.8 50 0 2012 2013 2014 2015 营收 2016 2017 2018 30% 35 25% 30 20% 25 15% 20 10% 15 5% 10 0% 5 -5% 0 2019 同比增长 资料来源:Wind,日月光公司官网,方正证券研究所 25% 20% 15% 19.00 20.97 22.50 21.76 18.45 20.22 23.04 23.51 10% 5% 0% Q1/18 Q2/18 Q3/18 Q4/18 Q1/19 Q2/19 Q3/19 Q4/19 营业毛利 营业收入净额 营业毛利率
43. 日月光2019年度总结与2020年度展望  日月光半导体封测部分2019年全年实现营收85.22亿美元,较去年增长12%。其中,封装占 比81.3%,与2018年相比增加12%;测试占比17%,增长19%;材料直接销售占比1.7%, 下降1个百分点。全年毛利率为19.9%,营业净利率为7.6%。  对于2020年运营展望:在业务方面,Asteelflash收购活动预计在2020年第三季度完成合并 ;Fan-out封装收入预期将在2020年期间增长超过5000万美元;目前正在寻找测试业务以 加快速度,期望该业务增长率可超过逻辑半导体增长率的两倍。 日月光半导体封测下游应用占比 日月光封装业务产品组合营收占比情况 100% 100% 80% 80% 60% 60% 40% 40% 20% 20% 0% 0% Q1/18 Q2/18 Q3/18 Q4/18 Q1/19 Q2/19 Q3/19 Q4/19 通讯 电脑 汽车,消费性电子及其他 资料来源:wind,日月光公司官网,方正证券研究所 Q1/18 Q2/18 Q3/18 Q4/18 Q1/19 Q2/19 Q3/19 Q4/19 材料 测试 Discrete及其他 封装 Bump/FC/WLP/SiP
44. 日月光主要封装技术  日月光封装业务技术全面,涵盖高、中、低端各类封装技术,主要包括针脚插装、引线框封 装等传统封装技术,以及基板封装、晶圆级封装以及系统封装等先进封装技术;此外,各类 技术既涵盖各种主流技术,亦包含日月光集团专属的改进及创新技术,能够满足客户各类型 产品需求。  改进及创新技术生产基地主要集中在中国台湾各厂区(高雄厂、台中厂等)以及韩国厂(韩 国坡州厂),与研究中心(中国台湾高雄、中国台湾台中、韩国)设置基本重合。 技术类别 主流技术 改进及创新技术 改进及创新技术生产基地 针脚插装 PDIP、SOJ、SOP、SSOP、TSOP、 TSSOP、MFP - - 引线框封装 QFP、LQFP、TQFP、QFN、PQFN、 LPQFN、PLCC、PFP、PSOP Thermally Enhanced QFP、E-pad LQFP、E-pad TQFP 中国台湾彰化厂、中国台 湾台中厂 基板封装 BGA、PGA、PBGA、HSBGA、 HSPBGA、FBGA、FCBGA、LGA、 LBGA、CSP、FCCSP、TFBGA、 VFBGA、CSBGA 中国台湾高雄厂、中国台 EDHS-BGA、CSPBGA、HFCBGA、 湾彰化厂、中国台湾台中 L2BGA、Leadless-BCC、Leadless- QFN、Leadless-LGA、Leadless-aQFN、 厂、美国厂 memory FBGA for DRAM 晶圆级封装 aCSP、WLCSP WLCSP(8寸、12寸) 系统封装 PiP、PoP、SiP Module、MCP(多芯 片封装) 中国台湾高雄厂、韩国坡 MPBGA、Stacked Leadframe、 州厂 Stacked PBGA、Side by Side BGA、 Stacked CSP、Hybrid(W/B+FC)、 HS-MPBGA、MP-FCBGA、EHS- MPFCBGA、电源模块(含FC/PiP/PoP) 其他技术 Bumping、FC、Copper Wire(用于 SOP、QFP、QFN、BGA产品) - 资料来源:半导体行业观察,方正证券研究所 韩国坡州厂 -
45. 全球封测龙头:安靠  安靠(Amkor)成立于1968年,拥有超过300家全球领先半导体公司的战略合作伙伴,是 全球最大型的外包半导体先进封装设计、组装和测试服务的供应商之一。  Amkor可以提供超过1000种不同的封装格式和尺寸。其产品涵盖通孔及表面贴装的传统引 脚框架IC到最新的芯片尺寸封装(CSP),以及适用于多引线数量和高密度应用球栅阵列( BGA)解决方案。多样化产品组合包括堆叠晶粒、晶圆级、MEMS、倒装芯片、硅通孔( TSV)和2.5/3D封装。 安靠的特色封装产品 资料来源:安靠公司官网,方正证券研究所
46. 安靠2019年表现回顾  2019年上半年,Amkor在充满挑战的市场下表现良好。下半年,公司利用收入的增长极大 地提高了盈利能力。细分领域上,在通信方面,安靠参与了旗舰手机的发布,并由此实现了 下半年的有力增长;在汽车和工业领域,高级封装收入占比同比增长14%;最后在消费者方 面,安靠SiP封装在下半年取得了巨大的份额提升。  2019年安靠共产生了超过1亿美元的自由现金流,标志着连续五年实现正自由现金流流动。 同时本年度结束时,公司收入增长势头良好,资产负债表表现强劲。 安靠2012-2019年营收情况(亿美元) 日月光、安靠、长电研发支出占总营收比例对比 50 40% 7% 40 30% 6% 5% 30 20% 20 10% 3% 10 0% 0 2012 2013 2014 2015 营收 2016 2017 2018 2019 同比增长 资料来源:安靠业绩会纪要,Wind,方正证券研究所 4% 2% 1% -10% 0% 2012 2013 2014 日月光 2015 2016 Amkor 2017 长电科技 2018 2019
47. 安靠2020年未来展望  安靠对2020年保持乐观,预计大多数目标市场的需求都将稳定增长。预计2020年的资本支 出约为5.5亿美元,比2019年增加7500万美元,大部分用于提高高级封装的产能和能力。  在通信市场,公司预计5G技术的兴起将刺激行业周期性提升与高智能手机中电子器件需求 提升,而Amkor的RF模块和电源管理领域正是主要供给商。  在消费者市场,小型化和性能化的要求将继续为Amkor的SiP封装扩大机会。  在高性能计算市场,对高级芯片和模块的需求持续增长,特别是数据中心应用方面。这是 Amkor的长期优势,公司有望预计将从数据生成、处理、存储和传输的持续增长中受益。  最后在汽车市场,公司预计高级封装需求将继续保持强劲,随着自动驾驶和信息娱乐系统的 发展从高端市场不断迁移到中端市场。 SmartPackage™ PADK 工艺流程 资料来源:安靠业绩会纪要,安靠公司官网,方正证券研究所
48. 安靠主要技术与成就 技术类别 主要技术 基板封装 PBGA、CABGA、FCBGA、堆叠 CSP、fcTMV、fpfcMEP、fcCSP、fcSCSP 引线框架封装 PDIP、PowerSOP3、SOT-23/TSOT、SSOP/QSOP、TSOP、TSSOP/MSOP、SOIC、 ExposedPad TSSOP/MSOP/SOIC/SSOP、LQFP、TQFP、ExposedPad LQFP、ExposedPad TQFP、MQFP、PLCC、FusionQuad、MLF 功率器件封装 PSMC、SOD123-FL、SOD128-FL、TO-220、TO-220F、TO-220FP、TO-220SMD、TO-251、 DPAK、TO-252JEITA、TO-263、TO-263(7 Pin)、HSON8、Mini-HVSON(8 Pin)、 HVSON(8 Pin)、SO8-FL、TSON8-FL、TOLL、LFPAK 晶圆凸块、晶圆级制程和 芯片加工服务 WLCSP 芯片制程、晶圆凸块 (8″ & 12″)、一站式工艺流程 MEMS 和传感器 空腔 MEMS、模塑空腔、引线框架空腔 测试 重要的集成电路测试装置、晶圆探针、封装测试分选机、条状测试/Film Frame分选机、分立电源 测试、晶圆探针路线图、封装测试路线图 – PnP、封装测试路线图 – Film Frame、封装测试路线 图 – 条状、封装测试路线图 – 腔式、封装测试路线图 – 转塔式、预烧炉、生产线终端 (EOL) 服务 资料来源:安靠公司官网,方正证券研究所
49. 目录 一、封测行业投资逻辑框架 二、封测概览:5G环境下的封测解决方案 三、全球封测:景气复苏,三足鼎立 四、国产封测:发展迅猛,坚韧前行 封测:国产半导体最成熟领域 国产替代与产业转移双轮驱动 百舸争流,龙头领跑
50. 核心要点  封测:国产半导体最成熟领域。封测行业对半导体设计、制造领域来说,技术门槛、 对人才的要求包括国际限制相对较低,因此国内企业也是最早以封测环节为切入点进 入半导体产业,发展至今,已取得了非常亮眼的成绩。作为国内半导体产业链中最成 熟领域,龙头厂商封测技术可以比肩国际顶尖水平。  国产替代与产业转移双轮驱动,增量市场持续打开。中国晶圆产能正在不断扩大,预 计将从2015年的每月230万片,增至2020年的每月400万片,2015-2020年复合增长 率达到12%。晶圆厂产能的扩张将拉动下游的封测需求,叠加国产封测行业充分受益 于第三次半导体产业转移带来的发展机遇,2019-2020年大陆前三封测厂商积极扩张 先进封装产能,资本支出进入上行期,说明大陆封测厂商对未来的成长预期乐观,行 业复苏迹象明确。  百舸争流,龙头领跑。目前中国的封测行业正处于一个“百舸争流”的发展态势,国 内的封测企业大大小小共有300多家,其中专门为设计公司和IDM公司提供封测服务 的大约有100多家。在目前“百舸争流”的局势中,我们看好技术领先,受益5G终端 发展、业绩表现优异的龙头半导体封测公司,建议关注:长电科技、通富微电、华天 科技、晶方科技、太极实业、深科技。
51. 封测:国内半导体产业链最成熟领域  2019年中国集成电路产业销售收入为7562.3亿元,同比增长15.80%。其中集成电路 设计销售收入为3063.5亿元,同比增长21.6%,占总值40.5%;晶圆制造销售收入为 2149.1亿元,同比增长18.2%,占总值的28.4%;芯片封测销售收入为2349.7亿元, 同比增长7.10%,占总值的31.1%。  封测行业对半导体设计、制造领域来说,技术门槛、对人才的要求包括国际限制相对 较低,因此国内企业也是最早以封测环节为切入点进入半导体产业,发展至今,已取 得了非常亮眼的成绩。作为国内半导体产业链中最成熟领域,龙头厂商封测技术可以 比肩国际顶尖水平。 国内集成电路产业价值链 IC设计 3063.5亿元 代表公司:华为海思、紫光 国微、兆易创新 行业进入壁垒:较高 行业毛利率约为30% IC制造 2149.1亿元 代表公司:中芯国际、华润 微电子、华虹半导体 行业进入壁垒:很高 毛利率约为20%-40% IC封测 2349.7亿元 代表公司:长电科技、通富 微电、华天科技、晶方科技 、太极实业、深科技 行业进入壁垒:较低 行业毛利率约为15% 资料来源:wind,方正证券研究所
52. 国内半导体产业结构持续优化  2014-2019年,我国集成电路封测销售额持续稳定增长,但占比呈现下降态势。说 明我国封装行业作为半导体行业中发展最早的行业,在提升本行业市场规模的同时 ,也在积极推动半导体设计和制造行业的高速发展,使得我国集成电路产业链结构 在不断优化,朝着世界IC产业三业合理占比3:4:3靠近。  进入2020年,受益于半导体国产替代持续推进以及5G、高性能计算产品强劲需求, 国内封测厂商订单饱满,Q1业绩普遍亮眼,行业景气来临。 近年来我国集成电路三业占比情况 2014-2019国内封测销售额 100% 90% 80% 42% 38% 36% 35% 34% 2500 25% 2000 20% 1500 15% 1000 10% 500 5% 0 0% 31% 70% 60% 50% 24% 25% 26% 27% 28% 28% 40% 30% 20% 35% 37% 38% 38% 39% 41% 10% 0% 2014 2015 IC设计业 2016 IC制造业 2017 2018 封装测试业 资料来源:wind,方正证券研究所 2019 2014 2015 2016 封装测试业销售额(亿元) 2017 2018 2019 封装测试业同比增速
53. 国产封测发展迅猛,龙头已进入国际第一梯队  2020年一季度,全球前十大封测厂商累计营收59亿元,年增幅达25.3%。如今随着全球云 计算、5G通信的趋势,对于芯片封装需求越来越高,全球封测产值也随之上升。5G产业的 爆发为国产厂商的创新技术与高端产能提供了发展空间。  如下图可以看出,除了中国台湾日月光、北美安靠两大巨头屹立封测之首外,中国大陆半导 体封测市场增长迅猛,作为国产替代先锋,目前已经取得了长足的进步与发展。 2020年一季度全球封测业厂商营收与市占率 排名 公司名称 2020Q1营收(美元) 2019Q1营收(美元) 2020Q1市占率 2020Q1营收年增长 1 日月光 13.55亿 11.16亿 23.00% 21.4% 2 安靠 11.53亿 8.95亿 19.50% 28.8% 3 长电科技 8.18亿 6.00亿 13.80% 22.7% 4 矽品 8.06亿 6.66亿 13.70% 34.4% 5 力成科技 6.24亿 4.69亿 10.60% 33.1% 6 通富微电 3.10亿 2.53亿 5.30% 27.1% 7 华天科技 2.40亿 2.44亿 4.10% -4.0% 8 京元电子 2.32亿 1.71亿 3.90% 35.9% 9 南茂科技 1.85亿 1.45亿 3.10% 27.8% 10 欣邦科技 1.77亿 1.52亿 3.00% 16.4% 合计 59.00亿 47.11亿 — 25.3% 资料来源:半导体行业观察,方正证券研究所
54. 并购提升行业集中度,国产封测未来可期  随着半导体行业进入成熟期,市场竞争越发激烈,马太效应越发显著,导致近年行业 并购频发,大陆封测厂也通过并购迅速提升自身技术实力和规模。  大陆封测行业规模逐年稳步提升,2014年和2015年是大陆封测厂的高速扩张期,并购 动作频繁,从而实现大陆前三市占率从2014年到2019年几乎翻了一番。可以看出封测 业是资本密集型行业,规模优势明显,技术壁垒不高,更多是通过资源整合和规模扩 张来推动市占率的提升,大陆封测厂商仍有机会进一步做大做强。 2014-2019大陆封测行业并购事件 公司 并购事件 长电科技 2014年收购全球第四大封测厂 星科金朋 通富微电 2015年收购AMD苏州和槟州封 测厂 华天科技 2014年华天科技收购美国FCI公 司,2018年联合收购Unisem 公司 晶方科技 2014年收购智瑞达 2019年收购Anteryon公司 太极实业 2013年收购意大利EEMS苏州 公司 资料来源:公司公告,wind,方正证券研究所 2014-2019大陆封测厂商市占率 30% 25% 20% 15% 10% 5% 0% 2014 2015 2016 大陆前三强国内市占率 2017 2018 2019 大陆前五强国内市占率
55. 第三次半导体产业转移将重塑封测行业格局  当今半导体产业格局的形成主要是由于半导体历史上的前两次产业转移,且每一次转 移的过程都带动了当地科技与经济飞速的发展。随着半导体供应链重要性的日益提升 ,我国封测行业充分受益于第三次半导体产业转移带来的发展机遇。 全球半导体产业转移示意图 中国 韩国 日本 2000年后 19世纪70 年代中后期 存储格局重塑 以设计和封测带动制 造和设备产业的发展 晶圆代工崛起 19世纪70 年代中后期 19世纪50年代 美国 中国台湾 第一次产业转移 资料来源:电子说,方正证券研究所 第二次产业转移 第三次产业转移
56. 国内封测市场受益于国产化替代  随着国产替代重要性不断提高,国内密集出台相关产业政策来扶持半导体的产业发 展,未来半导体自给率将会有比较大的提升。 中国半导体自给率模型 资料来源:波士顿2019年报告,知识自动化,方正证券研究所
57. 国内封测市场受益于国产化替代  晶圆厂建设未来将拉动配套晶圆代工的封测需求。2017年至2020年间全球计划投产半 导体晶圆厂62座,其中26座位于中国大陆,占全球总数的42%。  截止到2019年,中国大陆的晶圆厂达到86座,同时还有全球最大的半导体消费市场, 达到了60%。依靠上下游的协同,国内封测厂商将会快速扩张满足需求,提升产业自给 率。预计到2024年,国内存储封测产业自给率将翻一番,逻辑封测产业自给率将接近 50%。 2017年至2020年间全球计划投产晶圆厂 中国封测产业自给率及预测 50% 14 45% 12 40% 35% 10 30% 8 25% 6 20% 15% 4 10% 2 5% 0 中国大陆 美国 2017 中国台湾 2018 2019 日本 韩国 2020 资料来源:SEMI,中国产业信息,方正证券研究所 0% 2019 2020 2021 中国逻辑封测产业自给率(%) 2022 2023 2024 中国存储封测产业自给率(%)
58. 国内晶圆厂持续高投入刺激封测需求  中国晶圆产能正在扩大,预计将从2015年的每月230万片,增至2020年的每月400万 片,2015-2020年复合增长率达到12%,而大陆晶圆产能占比从2011年的9%提升至 2018年的13%。晶圆厂产能的扩张将拉动下游的封测需求。 2019年国内重点晶圆代工厂产能建设情况 状态 项目名称 晶圆尺寸 产能 (K/WPM) 投资 投产 SK海力士半导体 12英寸 80 86亿美元 投产 中芯国际(天津)二期 8英寸 100 15亿美金 在建 中芯南方集成 12英寸 35 102亿美金 在建 华虹半导体(无锡)一期 12英寸 40 25亿美金 在建 三星半导体二期一阶段 12英寸 80 70亿美金 在建 广州粤芯 12英寸 40 70亿元 在建 中芯集成(绍兴) 8英寸 - 58.8亿元 在建 海辰半导体(无锡) 8英寸 100 67.9亿元 在建 中芯集成(宁波)二期 8英寸 30 39.9亿元 在建 上海塔积半导体 12、8、6英寸 - 359亿元 资料来源:中国产业信息,方正证券研究所
59. 大陆封测产能加速扩张,行业复苏在即  2019-2020年大陆三强封测厂商积极扩张先进封装产能,资本支出进入上行期,说明大 陆封测厂商对未来的成长预期乐观,行业复苏迹象明确。 公司 项目名称 进程 说明 中芯长电二期 J2A 首台设备顺利进驻, 计划新建三座大规模的现代化硅片加工工厂,形成领先的中段硅片制造 二期项目正式进入 和先进封装的研发和制造基础 项目 运营阶段 长电 科技 与物联网集成电路 目前一期已投产; 项目拟投资 23.5亿元,建成后将形成 Bumping、WLCSP 等通讯与物 二期批量采购设备, 联网集成电路中道封装年产 82 万片 Bumping、47 亿颗芯片封装的生 中道封装技术产业 小规模生产,逐步 产能力。项目建成并完成达产后,将进一步增加长电先进中道封装产能, 化项目 扩大产能 增强国际竞争力 长电科技晶圆级先 进制造项目 华天 科技 通富 微电 华天南京先进封装 项目 在建 总投资 80 亿元,将瞄准集成电路晶圆级先进制造技术的应用,为芯片 设计和制造提供晶圆级先进封装产品。打造国际一流水平的先进封装生 产线 该项目分三期建设, 全部项目计划不晚 主要进行存储器、MEMS、人工智能等集成电路产品的封装测试,涵盖 于2028 年 12 月 引线框架类、 基板类、晶圆级全系列集成电路封装产品 31 日建成运营 华天昆山晶圆级先 进封装生产线项目 在建 项目达产后,年新增传感器高可靠性晶圆级集成电路先进封装可达 36 万片,将形成规模化的高可靠性车用晶圆级封装测试及研发基地 与厦门市海沧区人民政府 共建集成电路先进封测生 产线的战略合作协议 一期项目试投产 项目总投资 70 亿元,规划建设以 Bumping、WLCSP、CP、FC、SiP 及三、五族化合物为主的先进封装测试产业化基地 合肥通富增设驱动 芯片封装和存储封 测项目 在建 苏州科阳拟在原有产线上增加设备的方式扩充 8 英寸 CIS 芯片晶圆级 封装产能,预计总投资 1.3 亿元,产能扩充分两期实施,其中首期新增 月产能3000片 资料来源:公司官网,方正证券研究所
60. 国内先进封测规模提升,带来巨大的增量市场  中国先进封装市场产值全球占比较低,但是占比稳步提升。中国先进封装产值到 2020年将达到46亿美元,占全球14.8%。中国封测企业2018年开始在先进封装领 域加速提高产能,增长率高达16%,是全球的2倍。  先进封装有望重构产业链价值,引领发展趋势。先进半导体封装在实现多样化功能 的同时,单价相对于传统封装有大幅度提升,是未来主要的行业增量。目前大陆封 装龙头通过并购和自身研发,迅速拉近与海外企业的差距,先进封装量产能力已经 基本形成,实现了跨越式发展。 近年来我国先进封装规模占全球封测的比例 16.00% SIP、 WLCSP、 BUMP、FC 14.80% 14.00% 12.00% 全球OSAT先进封装技术对比 10.30% 10.90% 11.90% 12.80% 13.60% TSV、 Fan-out 日月光 有 有 8.00% 安靠 有 有 6.00% 长电科技 有 有 通富微电 有 有 华天科技 有 有 10.00% 4.00% 2.00% 0.00% 2015 2016 2017 2018 中国先进封测占比全球的比例 资料来源:wind,Yole,方正证券研究所 2019 2020
61. 国内龙头:长电科技  百舸争流,封测龙头未来可期:长电科技作为一家全球第三、国内第一的企业,覆 盖全系列封装技术,在先进封装上比肩国外巨头,拥有六大生产基地。我们认为随 着5G产业、新基建大规模走向量产,新的机遇和市场空间不断涌现,在中芯国际的 加持下,公司未来业绩有望迎来上升周期。  业绩改善,扭亏为盈持续放量:2020年Q1,在新冠肺炎疫情的影响下,公司依旧 实现营收57.08亿元,同比增长26.43%,实现归母净利润1.34亿元,两项均创近5年 来第一季度新高。我们认为随着产能利用率不断提升,封测景气周期延续,公司未 来业绩持续放量。  5G爆发,先进封装大势所趋:长电的SiP技术能够很好的满足5G对射频模组的封装 技术要求,从技术来看,公司先进封装拥有较强的技术壁垒,竞争力强,未来将持 续受益。  虚拟IDM,中芯长电珠联璧合:在大国博弈长期存在的背景下,国产芯片公司倾向 于把代工环节回流到国内来规避供应链风险,半导体国产替代势在必行。中芯国际 和长电科技作为Foundry和OSAT的行业龙头,是承接半导体产业链的关键一环,随 着两者之间的战略互通不断深入,中游制造+下游封测的虚拟IDM模式逐渐成形, 成为大陆半导体产业链的基石。
62. 长电科技:全球第三、国内第一  长电科技是全球知名的集成电路封装测试企业,具备完成所有先进封装类型产品以及全系列 封装技术的能力,包括FC、WLP、SiP等。长电科技在中国、韩国、新加坡拥有三大研发中 心及六大集成电路成品生产基地, 营销办事处分布于世界各地。  2019年长电科技以11.3%的市场份额位列全球第三,国内第一。全球前二十大半导体公司 85%已成为公司客户,随着5G的普及,公司与多家战略客户的业务合作进一步加深。 长电科技发展沿革 资料来源:公司官网,方正证券研究所
63. 长电科技:背靠大基金和中芯国际,战略地位凸显  2015年长电科技引入产业基金和中芯国际的战略投资收购了全球排名第四的星科金朋,一举跃 升为全球第三的先进封测厂商。2017年通过定增进一步提升上述两家战略投资者的公司股权比 例,形成了产业基金(资金支持)——中芯国际(制造)——中芯长电(凸块加工)——长电科技(封 测)的垂直产业链布局。  2020年Q1新增公私募基金,机构持股比例上升。因产业基金不参与日常管理工作,中芯国际 作为间接大股东掌握话语权。2019年4月,中芯国际管理层入主长电科技,带来丰富的产业管 理经验,有利于公司与中芯国际上下游产业链协同发展。 长电科技股权结构变化 新潮集团 中芯国际 14.11% 长电科技 2.6亿美元 中芯国际 100% 产业基金 1.5+0.1亿美元 1.4亿美元贷款 100% 芯电半 导体 芯电半 导体 1亿美元 三层设计 2015年中芯国际助力长电科技收购星科金朋 资料来源:公司官网,方正证券研究所 新潮 集团 19% 银行贷款1.2亿美元 星科金朋 (100%) 产业 基金 14.28% 1.99% 2017年中芯国际成为 长电科技第一大股东 长电科技 2020Q1中芯国际成为长电科技第二大股东
64. 长电科技:和中芯国际战略互通  目前,长电科技董事会7席非独立董事成员中,与中芯国际有关成员占据5席, 高管和监事会也都有中芯国际管理层的身影,可以说中芯国际和长电科技已经 息息相关,实现了战略互通。 公司管理层职位 姓名 与中芯国际的关系 董事长 周子学 现任中芯国际集成电路制造有限公司董事长、执行董事, 及中芯国际若干附属公司之董事。 非独立董事 高永岗 现任中芯国际执行董事兼联席公司秘书、战略规划执行副 总裁、首席财务官,并兼任中芯国际若干关联公司之董事、 董事长。 非独立董事 任凯 现任华芯投资管理有限责任公司董事、副总裁。是中芯国 际的非执行董事。 非独立董事 张春生 国家集成电路产业投资基金股份有限公司副总裁。其公司 认购将要上市的中芯国际35.175亿元的股份。 非独立董事、CEO 郑力 历任恩智浦全球高级副总裁兼大中华区总裁,中芯国际全 球市场高级副总裁。 CFO 周涛 曾任恩智浦半导体大中华区首席财务官、财务副总裁、高 级财务总监、财务总监。由CEO郑力先生提名。 监事会监事 王永 现任中芯国际集成电路制造有限公司财务会计中心总监。 资料来源:公司官网,方正证券研究所
65. 长电科技:先进封测带来市场增量  长电科技具备完成所有先进封装类型产品以及全系列封装技术的能力,包括Fan- out、WLCSP、2.5D/3D、SiP、FC、MEMS 等,达到国际先进水平。2019年长电 科技的先进封装销售额占总封装销售额的93.7%,远高于行业平均水平;其先进封 装的单价是传统封装的14倍,比2018年的11.5倍有大幅提升。  未来伴随着5G、高端存储、汽车电子、高性能计算等应用催生的市场不断扩大,而 长电科技过去几年针对这些应用都有斥巨资布局,未来有望在下游需求的刺激下进 一步提升先进封装比例,量价齐升趋势显著。 2019年长电科技的先进封装占比和单价 长电科技封装技术 6.30% 0.05 Fan-out 封装技术 SiP封装技术 WLCSP 封装技术 0.7 93.70% 先进封装占比 2.5/3D封 装技术 FC封装技术 MEMS与 传感器 资料来源:公司官网,公司年报,方正证券研究所 先进封装单价(元/只) 传统封装占比 传统封装单价(元/只)
66. 长电科技:业务整合后效益逐渐显现  在2015年收购了处于亏损状态的星科金朋之后,当年长电科技的业绩受到了拖累。但 2016年和2017年公司营收规模持续扩大,归母净利润大幅提升。2018 年,受全球半 导体市场下滑、加密货币价格低位震荡等影响,公司业绩略有下滑。2019 年中芯国际 入主长电科技后,业务进展顺利,全年实现扭亏为盈。  今年第一季度,在新冠肺炎疫情的影响下,长电科技依旧创造了57.08亿元的营收,净 利润也高达1.34亿元,两项均创近5年来第一季度新高。另外公司的销售净利率和销售 毛利率均实现上扬,未来也将持续受益于下游旺盛的需求。 公司2015-2020Q1销售毛利率和净利率 公司2015-2020Q1营收和归母净利润 300 500% 250 400% 300% 200 100% 100 0% -100% 50 -50 15% 200% 150 0 20% 10% 5% -200% 2015 2016 2017 2018 2019 2020Q1 营业总收入(亿元) 归母净利润(亿元) 营收同比增长(%) 归母利润同比增长(%) 资料来源:公司年报,方正证券研究所 -300% 0% -400% 2015 2016 2017 2018 2019 -5% 销售净利率(%) 销售毛利率(%) 2020Q1
67. 长电科技:六大生产基地 资料来源:公司官网,方正证券研究所
68. 长电本部的拆分分析  滁州公司:为长电科技的全资子公司,主营研制、开发、销售半导体、电子原件、专用电子电气 装置。2015-2018年通过降本增效等技术改造,竞争力不断提升,营收和盈利大幅增长,是本部 的中流砥柱。2019年市场需求变化导致客户订单下降,公司业绩出现下滑。  宿迁公司:为长电科技的全资子公司,主营研制、开发、销售半导体、电子原件、专用电子电气 装置。2015年由于产能利用不足,部分产品价格下降,导致亏损。2016-2018年由于产品结构 调整和提升产能利用率,逐渐实现扭亏为盈。2019年净利润逆势小幅上涨。  新顺微电子:原为本公司控股75%的中外合资企业,主营开发、设计、制造半导体芯片。长电科 技为进一步优化资源配置,专注半导体封装测试业务,2018年末将新顺微出售。 本部的营收分析(亿元) 本部的净利润分析(亿元) 18 3 16 2.5 14 2 12 1.5 10 8 1 6 0.5 4 0 2 0 -0.5 2015 2016 滁州 2017 宿迁 2018 新顺微 资料来源:公司年报,方正证券研究所 2019 2015 2016 2017 2018 -1 滁州 宿迁 新顺微 2019
69. 长电先进:长电科技的中坚力量  长电先进是公司的全资子公司,主营半导体芯片凸块及封装测试产品,是国内最大规模、技术最 先进的晶圆凸块和晶圆级芯片尺寸封装生产企业,产品有Bumping、WLCSP等。长电科技今年 荣获“2019年TI最佳供应商奖”,是中国大陆地区唯一一个获得该奖项的封测业务公司,本次也 是长电先进第四次获此殊荣。  长电先进的业绩表现同样亮眼。近年来的净利率保持在9%左右,在营收规模只占到公司约10% 的前提下,贡献了极高的净利润。在2015、2016和2018年,总公司业绩亏损时仍然贡献约2亿 元的盈利 ;2017年和2019年长电先进分别贡献了3.22亿元和2.33亿元的净利润,占总公司同年 净利润的438%和242%,是总公司持续发展的中坚力量。  长电先进定位为高端封测产品,未来5G产业的爆发为其先进技术和高端产能提供了广阔的用武 之地。 长电先进2015-2019营收及净利润 长电先进2015-2019营收占公司比例 35 12.00% 20% 30 10.00% 25 8.00% 14% 12% 6.00% 10% 20 15 4.00% 10 5 0 2015 2016 长电先进营收(亿元) 2017 2018 2019 长电先进净利润(亿元) 资料来源:公司年报,方正证券研究所 18% 16% 8% 6% 2.00% 4% 0.00% 0% 净利率 2% 2015 2016 2017 2018 长电先进占公司总营收比例 2019
70. 星科金朋:原全球第四大半导体封测公司  星科金朋公司在全球拥有一万多名员工,在新加坡、中国及中国台湾地区、韩国、马 来西亚和美国等地设有工厂。  客户群包括数家晶圆代工厂、全球知名IDM大厂与遍布全球各地集成电路设计公司。 服务产品种类含盖通信、电脑、电源供应器与数据型消费性产品等。以先进制造与管 理技术为基础,加上全球性布局,星科金朋在全球封装测试业树立了可靠与高质量服 务的标竿。 星科金朋主攻先进封测 长电韩国    新加坡工厂  新加坡义顺  占地面积:29,894平方米/建筑面 积:73,600平方米  晶圆级封装、eWLB、测试 资料来源:公司年报,方正证券研究所 韩国仁川 占地面积:110,117平方米/建 筑面积:110,200平方米 SiP,芯片堆叠PoP、倒装及测 试 上海  星科金朋上海厂迁入江阴,2017 年全部完成  与长电先进、中芯长电中道封测 组建成从芯片凸块到FC倒装的强 大的一站式服务能力,为客户提 供一站式服务
71. 星科金朋:业绩有向好趋势  2015年长电科技为了获得先进的封装技术以及扩展全球一线IC 厂商的市场,完成了 对星科金朋的收购,但是收购后订单量及营业收入的下降直接导致毛利大幅下滑,再 加上财务费用较高,导致星科金朋出现持续亏损,拖累了公司业绩。  2019年以来公司对星科金朋调结构,撤总部,精架构,降成本节支出等一系列深度运 营整合措施使得星科金朋业绩开启上升通道,公司财务费用和资产负债率持续走低。 目前星科金朋产能利用率已逐步回升,未来有望顺利扭亏为盈。  2019年长电绍兴总投资80亿元,致力于打造国内最先进的封装测试基地,其成立符合 公司对星科金朋新加坡工厂经营策略的调整,有利于其盘活资产,优化资源配置,进 入长期健康发展轨道。 长电科技2015-2020Q1财务费用和资产负债率 星科金朋2017-2019年业绩 14 12 10 8 6 4 2 0 -2 2017 2018 2019 -4 星科金朋营收(亿美元) 星科金朋净利润(亿美元) 资料来源:公司年报,方正证券研究所 12 200% 10 150% 8 100% 6 50% 4 0% 2 -50% 0 2015 2016 财务费用(亿元) 2017 2018 资产负债率(%) 2019 2020Q1 -100% 财务费用同比(%)
72. 长电韩国:把握5G带来的SiP机遇  长电韩国为公司全资子公司长电国际在韩国设立的全资子公司,主营高端封装测试产 品,主要进行高阶SiP 产品封装测试。2016 年上半年度,长电韩国处于生产线建设期 ,并于2016 年7 月投产。2018年受终端智能手机促销策略的影响,导致封测产品的 价格下降。  2019年长电韩国积极布局高阶SiP业务,切入手机和穿戴式装置等终端产品,客户以 韩国品牌厂为主,包括三星和LG等。同时长电韩国也有意切入韩国5G天线相关AiP封 装。2020年5G手机的销量超预期,基于毫米波技术的5G手机对SiP的需求量增大,而 苹果AirPods在继Apple watch以后,也将采用了SiP封装技术。 长电韩国2017-2019营收及净利润 SiP优点 8 7.9 7.8 7.7 0.25 尺寸小 在相同的功能上,SIP模组将多种芯片集成在一起,相对独 立封装的IC更能节省PCB的空间。 0.2 时间快 SIP模组板身是一个系统或子系统,用在更大的系统中,调 试阶段能更快的完成预测及预审。 成本低 SIP模组价格虽比单个零件昂贵,然而PCB空间缩小,低故 障率、低测试成本及简化系统设计,使总体成本减少。 高生产效率 通过SIP里整合分离被动元件,降低不良率,从而提高整体 产品的成品率。模组采用高阶的IC封装工艺,减少系统故障 率。 0.15 7.6 0.1 7.5 7.4 0.05 7.3 7.2 2017 2018 长电韩国营收(亿美元) 2019 描述 0 长电韩国净利润(亿美元) 资料来源:公司年报,电子发烧友,方正证券研究所 简化系统设计 SIP将复杂的电路融入模组中,降低PCB电路设计的复杂性。 SIP模组提供快速更换功能,让系统设计人员轻易加入所需 功能。 简化系统测试 SIP模组出货前已经过测试,减少整机系统测试时间。 简化物流管理 SIP模组能够减少仓库备料的项目及数量,简化生产的步骤。
73. 通富微电:国内第二,全球第六  通富微电子股份有限公司成立于1997年10月,通过二十多年地不断开拓创新,目前,公司 是专业集成电路封装测试企业,技术水平及科研实力居国内同业前列,连续两年收入规模位 列国内行业排名第二、全球行业排名第六。  在国家政策支持和市场拉动下,在系统厂家的需求牵引、产业链的协同发展、国家产业基金 和国家重大专项的支持下,通富微电将不断向着国际级集成电路封测企业的目标迈进。 通富微电发展沿革 1997 公司成立 2007 公司于深交 所上市 2010 圆片级 BUMP生产 线成功建成 2013 规模化量产 FC封装技术 2011 成功开发 WLCSP封测技 术 资料来源:公司年报,方正证券研究所 2016 进入AMD供 应链 2015 突破12英寸 28纳米 Bumping技 术 2018 集成电路大基 金晋升为第二 大股东
74. 通富微电:借产业基金打通AMD产业链  2016年年底通富微电借助国家半导体产业投资基金收购了AMD公司的两块优质资产AMD槟 城与AMD苏州,贯彻了国家的战略目标,给公司带来以下优势:  技术上:公司从此能够提供种类最为完整的倒装芯片封测服务,同时能够支持国产CPU、 GPU、网关服务器、基站处理器、FPGA等产品的研发和量产。通富超威苏州成为国内高端 处理器芯片封测基地,打破国外垄断,填补了国家在这一领域的空白。  产业链上:公司与AMD形成了“合资+合作”的强强联合模式,公司绑定了AMD这个优质 大客户,同时积极承接国内外客户高端封测业务,扩宽了销售渠道。 通富微电借产业基金与AMD合作 通富微电 50.52% 产业基金 产业基金 49.48% 21.72% 产业基金 富润达 52.37% AMD(中 国) 15% 47.63% 富润达 52.37% 通润达 85% 100% 47.63% 通润达 通富微电向产业基金发行股份 购入富润达和通润达的股份 通富微电 15% AMD(中 国) 85% AMD苏州 AMD苏州 2016年产业基金助力通富微电收购AMD产业链 2020Q1产业基金成为通富微电第二大股东 资料来源:公司公告,方正证券研究所
75. 通富微电:优质客户群  目前,超过一半的世界前20强半导体企业和绝大多数国内知名集成电路设计公司都已成为 通富微电的客户。由于封测行业的特性,客户十分注重生态系统的打造,一旦导入后合作 关系将会非常稳定,客户粘性较强。  其中AMD作为全球顶尖CPU芯片设计厂商,是通富微电最大的客户,2019年贡献了全公司 49.32%的营收比例,其同年发布的7纳米芯片“先进架构”叠加“先进工艺”,在产品性 能、功耗已处于行业最优,且价格相对竞争对手具备优势,市占率提升显著。通富超威苏 州作为第一个为AMD7纳米全系列产品提供封测服务的工厂,2019年导入6个封装、8个测 试新产品,成功接洽42个新客户,新客户产量需求大幅增加。AMD未来的5nmZen4处理 器同样会给通富微电带来大量的封装订单。 通富微电主要客户 2019年AMD贡献的营收占比 32% 49% 19% AMD 资料来源:公司年报,方正证券研究所 中国大陆 其他地区
76. 通富微电:六处生产基地协同发展  在收购苏州和槟城后,公司的主要生产基地从之前的南通崇川总部一处扩张为崇川、苏通、 合肥、苏州、厦门、马来西亚槟城六处生产基地,形成多点开花的局面。产能成倍扩大,特 别是先进封装产能大幅提升,带来的规模优势更为明显。  BGA  南通通 QFN 富工厂 Fan-Out  Copper pillar 崇川总部 Gold Bump QFN 全资控股  WBGA 合肥通 FCBGA 富工厂 显示驱动芯片 封测 通富微电  高端CPU、GPU 量产封测 FCBGA FCLGA FCPGA  苏州、槟城 工厂(AMD控股 15%,通富微电 控股85%) 资料来源:公司年报,方正证券研究所 资料来源:公司官网,方正证券研究所 合资设立  厦门通富工 厂(通富微电 控股10%,厦 门政府控股 90%) Bumping WLCSP CP FC SiP
77. 通富微电:受益于AMD的持续放量和国产化替代  2016年公司收购完AMD苏州和槟城后,营收规模与技术水平步入快车道,但是盈利能力改善 不明显。到2019年,半导体行业景气度呈现“前低后高”的走势,上半年市场需求整体低迷 ,下半年受国产化驱动国内市场需求大幅增长,5G商用带来客户订单明显增加;此外,高端 处理器产品市场在AMD7纳米技术带动下,需求呈现强劲增长,全年公司扭亏为盈。  2020年一季度市场需求回暖,公司营收实现同比增长31.01%。通富微电作为国内首家封装 7nm芯片的厂商,AMD的CPU、GPU等相关新品的持续放量叠加“芯片国产化” 浪潮将给 通富微电带来可观的订单增量,公司有望迎来业绩的大幅反弹。 2016-2019AMD贡献的销售额 2015-2020Q1 公司业绩情况 90.00 150% 80.00 100% 60.00 50.00 50% 40.00 30.00 0% 50% 35 30 40% 25 30% 20 15 20.00 10.00 -10.00 60% 40 70.00 0.00 45 -50% 2015 2016 2017 2018 2019 2020Q1 营业总收入(亿元) 归母净利润(亿元) 营收同比(%) 归母净利润同比(%) 资料来源:公司年报,方正证券研究所 20% 10 10% 5 -100% 0 2016 2017 AMD带来的营收(亿元) 2018 2019 占总营收比例(%) 0%
78. 通富本部:运营稳中有进  崇川总部注重先进封装技术,是全公司营收的重要来源,拥有包括像联发科、英飞凌等 优质客户。合肥通富、南通通富作为两个分支,在2016年建成投产。南通通富产品主要 应用于终端领域,已实现4G PA的量产, 5G PA终端小批量产,有望加大与联发科的合 作力度;合肥通富致力于驱动电路封测线以及存储DRAM封测,和合肥长鑫合作密切。  今年联发科量产的天玑1000 5G综合性能达到全球顶尖水准,后续的天玑系列将会覆盖 全系列5G产品;同时今年合肥长鑫成为首个真正具备量产DDR4内存芯片能力的国内厂 商,将有望提升国内DRAM市场的自给率。这两家公司作为原通富的重要客户,旗下拳 头产品市场表现出色,迎合不断增加的市场需求,未来业绩确定性强,将给原通富带来 可靠的业绩支撑。 2018-2019年联发科全球手机芯片市占率 中国DRAM产能与市场份额预测(万片/月) 14% 2019 2020 E 2021 E 2022 E 合肥长鑫 2 4 8 12 中国DRAM 产能 130 136.5 143.3 150.5 中国DRAM 产能占全球比例 2% 3% 6% 8% 25% 37% 42% 49% 2018年 联发科 高通 33% 2019年 其他 联发科 高通 2020年联发科预计拿下5G SoC 40%的市场份额 其他 资料来源:Counterpoint,中国产业信息,公司官网,方正证券研究所
79. 苏州&槟城:受益于AMD的持续增长  苏州和槟城厂原本是AMD下属专门从事封测业务的子公司,现在承接AMD绝大部 分的封测业务,这两家工厂的业绩和AMD的市场表现息息相关。  2018年AMD凭借锐龙和霄龙处理器的优异表现提高了市场占有率,成功结束了数 年来亏损的局面,2019年业绩稳步提升,2020年Q1营收17.86亿美元,扣非归母净 利润1.62亿美元,同比大幅度提升。预计2020下半年7nm处理器订单的大幅增加将 增厚公司业绩。  AMD现阶段目标是要在服务器、台式机、笔记本市场上分别占据26%、25%、17% 的份额,重拾Opteron(皓龙)处理器时代的辉煌。 2017-2019 AMD全球CPU市场份额 2015-2020Q1 AMD的业绩 1000% 80.00 70.00 18.0% 500% 60.00 50.00 0% 30.00 12.0% 10.0% -500% 20.00 10.00 -10.00 16.0% 14.0% 40.00 0.00 20.0% 2015 2016 2017 2018 2019 2020Q1 -20.00 -1000% -1500% 营业总收入(亿美元) 扣非归母净利润(亿美元) 营收同比(%) 扣非归母净利润同比(%) 8.0% 6.0% 4.0% 2.0% 0.0% 资料来源:公司官网,Mercury Research,方正证券研究所 2017Q4 2018Q4 台式机 笔记本 2019Q4 服务器
80. 苏州&槟城:AMD最大的封测厂  通富微电成功收购AMD产业链后,拥有了高端芯片、GPU、服务器芯片、FPGA芯片等芯片 领域全系列产品的封装测试能力,实现了跨越式发展。  并购之后的第二年,两厂出色的业绩实现了通富微电营收的翻番,由23亿发展到46亿,同时 也为通富微电降低了运营成本,带来了客观的规模效益。2018年营收32.46亿元,为全公司 贡献了42.7%的营收比例,净利润为2.16亿元,占公司总利润87.9%;2019年两厂把握住了 AMD7纳米产品大卖的机遇,实现营收43.3亿元,同比增长33%。2020年半年报业绩预告显 示,受益于AMD先进制程的市场占有率进一步扩大,两厂盈利能力稳步提升。  同时,两厂采用了更为激进的折旧策略,2016年并购时的大量固定资产将在2021年之前全部 完成折旧,2020年之后将进一步释放利润。 2016-2019 苏州&槟城业绩 两厂的折旧方法 50 80% 45 60% 40 35 折旧方法 折旧 残值 年限 率 年折旧率 40% 30 25 20% 20 10 5 2016 2017 2018 2019 销售收入(亿元) 净利润(亿元) 销售收入增速(%) 净利润增速(%) 资料来源:公司年报,方正证券研究所 1.苏州和槟城 机器设备 年限平均法 2-5 0 50%-20% -20% 电子设备 年限平均法 2-5 0 50%-20% -40% 2.其他主体 机器设备 年限平均法 8 10% 11.25% 电子设备 年限平均法 5-8 10% 18%-11.25% 0% 15 0 类别
81. 华天科技:国内封测业的后起之秀  华天科技主要从事半导体集成电路、MEMS传感器、半导体元器件的封装测试业务。产品主 要应用于计算机、网络通讯、物联网、工业自动化控制、汽车电子等电子整机和智能化领域 。2020年第一季度封测行业按营收规模划分,华天科技处于国内第三位,全球第七位。  近几年来,公司不断加强先进封装技术和产品的研发力度,并购与资源整合同步进行,提升 核心业务的技术含量和市场附加值,有望发展为国际知名的集成电路封装测试企业。 华天科技发展沿革 2009 认定为国家级 企业技术中心 2003 公司成立 2007 华天科技A股股 票在深圳证券 交易所成功上 市 2013 华天集团2013 年度第二批科 技成果及新产 品鉴定成功 2011 中科华天西钛先 进封装联合实验 室揭牌成立 资料来源:公司官网,年报,方正证券研究所 2015 华天科技对深圳 市华天迈克光电 电子科技有限公 司进行增资,实 现了对它的控股 2014 华天科技收购 美国FCI公司, 进一步提高晶 圆级集成电路 封装及FC集成 电路封装的技 术水平 2018 联合收购 Unisem公司
82. 华天科技:研发由易及难,层层突破  公司从低端封测入手,通过多次参加国家科技重大专项02专项,进行中高端封装 及先进封装领域技术研发项目,逐步实现中高端以及先进封装领域的研发突破。 公司历年封装技术研发情况 封装能力 时间 归属项目 DIP、SOP、SSOP、QFP、SOT 上市前已具备 \ LQFP、QFN、BGA、MCM、TSSOP 2007年 上市募集资金投资项目 DFN 2010年 DFN型微小型封装技术改造 VQFN TFBGA、LFBGA、LGA 2012年 国家科技重大专项02专项 FC仿真设计 2013年 国家科技重大专项02专项 TSV、Bumping、MEMS、SiP等 2014年 国家科技重大专项02专项 晶圆级扇出型、高深宽比硅通孔、FCLGA等 2015年 \ MEMS封装、Fan-Out 2016年 \ 多芯片和三维高密度集成 2017年 \ 3D NAND 8层封装、XWFN封装 2018年 \ 3D-eSiFO、3D NAND 16层封装、BGA封装 2019年 \ 资料来源:公司年报,方正证券研究所
83. 华天科技:大陆为中心,全球化的产业布局  华天科技在昆山、西安、天水三地全面布局主基地,各地定位不同,兼顾了生产成本 和人才优势。西安基地目前是公司的中坚力量,在高端 SiP 封装领域积累多年, 2019年贡献了21.6亿元的营收,占全公司总营收的26%,净利润高达1.4亿元,占全 公司净利润的48%。而昆山基地主攻高端技术,2019年完成新建厂房建设,满足CIS 产品扩产及FC产线建设需要,是公司未来发展的重点。  华天科技要约收购Unisem公司后形成以中国大陆为中心,以美国凤凰城、马来西亚 怡保、印度尼西亚巴淡为境外封测基地的分布格局,进一步完善公司全球化的产业布 局,扩张海外市场,提升公司全球市场竞争力。 华天科技三地布局,多点开花 天水总部 着眼中低端 DIP、SOP、 LED 封装等 昆山基地 主攻高端技术 TSV、Bumping 、Fan-Out等 西安基地 立足中高端封装 QFN/DFN 、 MEMS、SiP等 资料来源:公司官网,方正证券研究所 基地 定位 封装技术 华天南京 高端 存储器、MEMS 等 华天宝鸡 配套 引线框架及封测 设备 成都(原 Unisem) 中高端 MEMS封装、 WLCSP等 怡保(原 Unisem) 中高端 WLCSP等 巴淡(原 Unisem) 中高端 MEMS封装等 华天美国凤凰城 高端 WLCSP、FC、 Bumping
84. 华天科技:积极开拓国内外市场  2019年公司新开发客户146家,实现与博世、瑞昱、江波龙等客户的合作,并批量供货 。在与瑞昱实现合作后,中国台湾地区前十大IC设计企业中已有八家成为公司客户。  公司目前的核心客户有华为海思、汇顶科技、MPS、PI、SEMTECH、PANASONIC等 。2019年公司前五大客户总销售额达到13.78亿元,贡献了全公司17%的营收,相比于 去年23.24%的比例有所下降,减少了公司的经营风险。 华天科技核心客户 资料来源:公司官网,方正证券研究所
85. 华天科技:行业回暖迎业绩拐点  公司2015-2017年营收和归母净利润都在稳定增长,年均复合增长率分别为34.5%和 24.76%。2018年受到行业深度调整以及生产成本上升等的影响,经营业绩有所下滑 。2019年受到行业逆风以及公司要约收购UNISEM所新增财务费用大幅上升等因素的 影响,公司总营收实现同比增长但归母净利润依旧下滑。  2020年Q1行业景气度回升,公司订单饱满。公司2020年Q1实现归母净利润同比增长 276%,毛利率和净利率均实现上扬,迎来业绩的拐点。 公司2015-2020Q1销售毛利率和净利率 公司2015-2020Q1营收和归母净利润 90 300% 80 250% 70 20.00% 200% 60 50 150% 40 100% 30 15.00% 10.00% 50% 20 0% 10 0 25.00% 2015 2016 2017 营业总收入(亿元) 营收同比(%) 2018 2019 2020Q1 归母净利润(亿元) 净利润同比(%) 资料来源:公司年报,方正证券研究所 -50% 5.00% 0.00% 2015 2016 2017 销售毛利率(%) 2018 2019 销售净利率(%) 2020Q1
86. 华天科技:扩大研发投入和积极扩张  公司积极利用资本市场进行融资。2011 年和2015 年定向增发,2013 年发行可转换 债券,2019 年配股募资16.4亿元,为研发投入和积极扩张提供了资金支持。  公司研发支出金额逐年增加,2016年以来占营收比例稳定在5%左右。2019年以来, 华天南京等项目的建设导致在建工程金额的激增。  同时,公司先后收购昆山西钛、FCI、迈克光电、纪元微科和Unisem 五家公司来完 善自身封装技术。目前公司已具备QFN、BGA、LGA、FC、SiP、Bumping、TSV、 Fan-Out 等中高端封装以及先进封装的量产能力。 公司历年的研发投入 公司历年的在建工程情况 4.5 8% 10.00 4 7% 9.00 8.00 6% 7.00 5% 6.00 3.5 3 2.5 80% 60% 40% 4% 5.00 2 20% 3% 4.00 3.00 2% 2.00 1% 1.00 1.5 1 0.5 0 100% 2014 2015 2016 研发投入(亿元) 2017 2018 研发占比总营收 资料来源:公司年报,方正证券研究所 2019 0% 0.00 0% -20% 2015 2016 2017 在建工程(亿元) 2018 2019 同比增长 2020Q1 -40%
87. 华天科技:先进封装SiP前景广阔  公司在 SiP 封装领域积累多年,已经建立完整的数据库,发展自身的 SiP 解决方案, 成功提供 AP、RF、MEMS 等SiP产品。  未来SiP市场伴随着5G浪潮的普及规模将会显著增长。 2025年SiP市场预测(百万美元) 华天科技SiP产品 SiP产品 AP+Memory SiP RF SiP MEMS SIP 功能 客户 将AP 和内存 Memory 封装在一起, 全志科技等 AP 形成完整的芯片解决 芯片厂商 方案 SIP 以其设计周期短、 功能增减灵活方便等 优势而普遍用在RF器 件上 将各种不同的传感器 和后端 ASIC 控制器 封装在一起,实现整 个系统的功能,同时 大幅缩减模组的体积 和功耗 中科汉天下等 2019:$13400M 55 1148 12239 2025:$18800M 1364 315 FPC 和汇顶。 应用在华为的 Mate和P系列 手机 17177 FC/WB SiP 资料来源:公司年报,Yole,方正证券研究所 Fan-Out SiP Embedded Die SiP
88. 华天科技:先进封装TSV将迎来复苏  TSV技术(即硅通孔技术)是一项高密度封装技术,通过铜、钨、多晶硅等导电物质的 填充,实现硅通孔的垂直电气互连。TSV-CIS封装技术是目前先进的封装技术,它可 以有效降低中低端CIS封装成本,使得芯片面积达到最小,实现晶圆级封装。  2020年在疫情冲击下,CIS销售额可能要终止连续九年创新高的纪录,但下半年手机 摄像头、安防和汽车市场对CIS需求持续增加。CIS芯片使用的TSV封装近年来价格持 续下跌,2020年下半年有望迎来回暖局面。 全球CIS将重回上升通道 销售额 (十亿 美元) 出货量 (十亿 个) 销售额=16.9% 出货量=15.2% 销售额=7.2% 出货量=11.5% 资料来源:公司官网,IC insights,方正证券研究所 TSV是昆山基地主攻 的方向,CIS 封装供 货给包括国产CMOS 图像传感器巨头格科 微电子等大客户。 2019年华天的TSV封 装产品已通过安防及 汽车电子客户认证, 消费及安防领域实现 了批量供货,汽车领 域具备量产条件。
89. 晶方科技:CIS芯片封装龙头  公司成立于苏州,是一家致力于开发与创新技术,为客户提供可靠的,小型化,高性能和高 性价比的半导体封装量产服务商。晶方科技的CMOS影像传感器晶圆级封装技术,使高性能 ,小型化的手机相机模块成为可能。现今已有近50%的影像传感器芯片可使用此技术,大量 应用于智能手机,平板电脑,可穿戴电子等各类电子产品。 晶方科技的发展历程 2005 公司成立 2007 公司产品被 认定为“国 家重点新产 品” 2006 建成大陆第 一座晶圆级 封装厂 资料来源:公司官网,方正证券研究所 2017 国家集成电路 产业基金入股 9.32% 2012 上市 2011 建成大陆最大 的12寸TSV批 量制造厂 2014 收购智瑞达 2019 收购 Anteryon公 司
90. 晶方科技:主要产品及优质客户 产 品 应 用 高集成度、高可靠性 的汽车传感器封装 微型化的图像 传感器模块 封 装 技 术 垂直 TSV CSP 外引脚式玻璃CSP 基板外引脚式CSP 技 术 优 势 高稳定性 高性能 低成本 超薄的指纹 传感器模块 MEMS陀螺仪 和加速度计 世界上领先的硅通孔 芯片尺寸封装技术 ETIM™ (Edge Trench Interconnect Module) 技术. 超微型化的 HCSP™ 密封芯片 尺寸封装技术 更轻薄 更可靠 更低成本 目前全球领先的指纹传 感器模块技术解决方案 ,拥有更小的外形、卓 越的可靠性、无与伦比 的传感器功能和性能 突破性、创新性、可靠 性且性价比较高的晶圆 级MEMS传感器封装技 术。可以减小50%的尺 寸 代 表 客 户 资料来源:公司官网,方正证券研究所 各大手机厂商
91. 晶方科技:收购Anteryon开拓3D sensing 领域  2019年1月2日,公司旗下晶方产业基金与荷兰 Anteryon公司股东签署股份收购协议,晶 方光电出资 3225 万欧元收购荷兰 Anteryon 公司 73%股权。  Anteryon 拥有完整的晶圆级光学元件量产制造能力,而晶方科技是全球最大的 CIS 芯片封 装厂商之一,强强联合将在诸多领域有所突破,尤其在3D Sensing摄像头领域。 3D Sensing摄像头市场前景开阔,渗透率加速提升,将成为公司新的业绩增长点。 晶方科技打通3D Sensing领域 Anteryon 晶方科技 70 镜头 60 强强联合 光学滤片 市场驱动 20% 50 40 15% 30 10% 20 5% 10 镭射模组 0 光学机电封装 25% 世界领先的硅通孔 芯片尺寸封装技术 资料来源:公司官网,前瞻研究院,方正证券研究所 2016 2017 2018 2019E 3D Sensing模组市场规模(亿美元) 2020E 0% 渗透率
92. 晶方科技:盈利能力遥遥领先  公司从上市到2019年业绩水平保持稳定。2020Q1,虽然受到了疫情的冲击,但公司 一直处于生产且产能饱满的状况,下游需求旺盛叠加单价上涨,公司业绩强势增长, 实现营业收入1.91 亿元,同比增长124%,实现归母净利润6200万,同比增长17.5倍 。  晶方科技的盈利能力在全球封测厂商中位居前列,公司毛利率领先同行业20多个百分 点,2020Q1公司的管理费用同比骤降36%,毛利率达到了历史最高47.82%,业绩上 涨趋势明确。 晶方科技业绩情况 晶方科技毛利率领跑全行业 60% 7.00 6.00 5.00 4.00 3.00 2.00 1.00 0.00 2015 2016 2017 2018 2019 2020Q1 2000% 1800% 1600% 1400% 1200% 1000% 800% 600% 400% 200% 0% -200% 50% 40% 30% 20% 10% 0% 2015 2016 2017 2018 营业总收入(亿元) 归母净利润(亿元) 晶方科技 长电科技 营收同比增长(%) 归母净利润同比增长(%) 通富微电 太极实业 资料来源:公司官网,方正证券研究所 2019 华天科技 2020Q1
93. 太极实业:传统行业转型半导体  太极实业是以半导体封装检测以及化纤帘帆布生产为主营业务的国有控股企业。 公司前身是无锡市合成纤维总厂(成立于1987 年)。1993 年公司在上交所成功 上市,是江苏省首家上市公司。  公司目前主营业务包括半导体业务、工程技术服务业务、光伏电站投资运营业务 ,半导体业务依托子公司海太半导体和太极半导体开展,其中海太半导体主要提 供DRAM、封装、封装测试、模组装配和模组测试等业务;太极半导体主要从事 自主研究、开发、封装、测试及模组业务。 太极实业的发展历程 1966 公司前身无锡市 第一合成纤维厂 成立,是我国最 早生产民用涤纶 短纤的企业 2008 在扬州投资成 立江苏太极实 业新材料有限 公司 1993 改名为太极实 业,在上海证 券交易所上市 资料来源:公司官网,方正证券研究所 2013 成立太极半导 体(苏州)有 限公司 收购意大利 EEMS苏州公司 2009 与韩国海力士合 资成立海太半导 体(无锡)有限 公司 2018 国家大基金入股 太极实业,成为 公司第二大法人 股东 2017 十一科技成为 太极实业子公 司
94. 海太半导体:公司营收的重要来源  2009年太极实业和 SK 海力士分别持股 55% 和 45%设立了海太半导体,其业务 目前主要是为SK 海力士的DRAM 产品提供后工序服务。SK 海力士是世界第二大 DRAM 制造商,与SK 海力士结成紧密的合作关系有助于公司降低进入半导体行 业的风险,同时也有利于加快发展公司独立运营的控股子公司太极半导体。  2019 年度,海太半导体封装、封装测试最高产量分别达到 12.46 亿 Gb 容量/月和 11.76 亿 Gb 容量/月,相比去年同期分别增长 1.2%、9.9%。同年海太营收38.9亿 元,占全公司的23%,净利润2.15亿元,占全公司的29.8%,是公司业绩的重要 支撑。 海太的产品应用和代表客户 海太半导体近几年业绩 应用领域 客户 应用领域 客户 卫星电视接收器 echostar 数码相机 fujitsu U盘 金士顿 打印机 Canon 45 10% 40 8% 35 6% 4% 30 2% 25 0% 20 路由器 手机 硬盘 华为 苹果 希捷 服务器 电脑 显卡 资料来源:公司官网,方正证券研究所 HP Deli Nvidia -2% 15 -4% 10 -6% 5 0 -8% 2016 2017 2018 2019 营收(亿元) 净利润(亿元) 营收同比增加(%) 净利润同比增加(%) -10%
95. 太极半导体:开辟出独立自主的发展道路  太极半导体是公司展开独立发展半导体封装测试业务的重要平台,构建了三大业 务支撑:DRAM,NAND Flash和Non-Memory,提供包括IC 产品、IC封测、 PCBA 产品等一站式解决方案。目前,太极半导体已经和SanDisk、ISSI、 Spectek等重要客户建立合作关系及提供产品与服务。  太极半导体自2018年开始扭亏为盈,2019 年营业收入4.28亿元及利润总额1234 万元,同比分别增长9.26%和 8.77%。经受住了市场的考验,在逆势中取得了一 张含金量颇高的成绩单。 太极半导体完整的一站式解决方案 晶圆背面 研磨 晶圆切割 芯片封装 芯片烧结 芯片测试 太极半导体业绩情况 5 100% 4 50% 0% 3 -50% 2 模组分装 系统级测 试 1 0 代客 发货 打标 目检 包装 资料来源:公司官网,方正证券研究所 -100% -150% 2016 2017 2018 2019 -1 -200% -250% 营收(亿元) 净利润(亿元) 营收同比增加(%) 净利润同比增加(%)
96. 深科技:全球EMS行业领先者  深圳长城开发科技股份有限公司(深科技)为全球客户提供技术研发、工艺设计 、生产控制、采购管理、物流支持等全产业链服务。2015年6月,公司公告收购 金士顿旗下的沛顿科技有限公司,正式进军芯片存储行业高端封装领域。  目前,深科技致力于提供计算机与存储、通讯与消费电子、半导体、医疗器械、 汽车电子、商业与工业产品的制造服务和自动化设备、计量系统及物联网系统的 研发生产服务。 深科技的发展历程 1985 开发科技(蛇口 )有限公司于深 圳蛇口工业区注 册成立 1998 公司进行了资产重组, 中国长城计算机集团成 为深圳开发科技股份有 限公司控股股东 1992 公司迁至彩田 园区,并被认 定为深圳市高 新技术企业 资料来源:公司官网,方正证券研究所 2015 全面收购沛顿科技 (深圳)有限公司 ,进入半导体封装 测试领域 2005 公司名称由“深圳开 发科技股份有限公司 ”正式变更为“深圳 长城开发科技股份有 限公司” 2017 深科技子品牌“ 深科技城项目” 奠基 2016 深圳长城开发科 技股份有限公司 原简称“长城开 发”变更回“深 科技”
97. 深科技:全球EMS行业领先者  作为全球领先的电子产品制造服务(EMS)专业提供商,深科技在现有EMS核心 业务基础上,积极寻求新兴产业成长机会,重点布局集成电路半导体封装与测试 和新能源汽车电子等战略性新兴产业。 深科技布局领域与产品 半导体业务    高端DRAM 封测 高端FLASH 封测 Fingerprint 指纹 芯片封测 计量系统业务  智能水、电、气 等能源计量管理 系统 自动化设备产品  高精密自动装配、 自动点胶、自动贴 标、自动化线体以 及非标自动化 工业物联网 硬盘 医疗产品           实时静电防护监控 系统 工业物联网系统 LBS 定位服务产品 通讯与消费电子    通信基站板卡业 务 智能手机 智能穿戴 资料来源:公司官网,方正证券研究所 硬盘磁头 硬盘电路板 盘基片 汽车电子  各类型超级电容 整套模组制造解 决方案 呼吸机 腹膜透析加温仪 智能血糖仪 手术显微镜 商业与工业     投影仪 智能家居 支付产品 穿戴设备
98. 深科技沛顿:存储封装的龙头,业绩迎来拐点  深科技沛顿是目前国内唯一具有从集成电路高端DRAM / FLASH 晶元封装测试到 模组成品生产完整产业链的企业。  深科技沛顿2016-2018年虽然营收规模在下降,但是净利润稳步提升。2019年公 司业绩表现出色,营收规模同比增长275%,同时与合肥经开签署战略合作,预计 总投资不超过100亿元人民币,2020年产能或将迎来大幅提升。 深科技沛顿技术及产品 芯片封 测产品 封测技术 DRAM、eMCP、 WBGA, LGA, SiP、SSD以及 FBGA-SSD, SiP- Finger print等产 eMCP & USB 品 深科技沛顿业绩 存储产品 内存模组、USB 存储盘(U 盘)、 Flash 存储卡、 SSD 等 12.00 300% 10.00 250% 150% 6.00 100% 4.00 50% 2.00 0.00 资料来源:公司官网,方正证券研究所 200% 8.00 0% 2016 2017 2018 营业收入(亿元) 净利润(亿元) 营收同比增长 净利润同比增长 2019 -50%
99. 风险提示  全球贸易局势紧张,国际形势面临不确定的风险  国产替代不及预期的风险  下游终端行业景气度不及预期的风险  5G应用不及预期的风险
100. 分析师声明 作者具有中国证券业协会授予的证券投资咨询执业资格,保证报告所采用的数据和 信息均来自公开合规渠道,分析逻辑基于作者的职业理解,本报告清晰准确地反映了 作者的研究观点,力求独立、客观和公正,结论不受任何第三方的授意或影响。研究 报告对所涉及的证券或发行人的评价是分析师本人通过财务分析预测、数量化方法、 或行业比较分析所得出的结论,但使用以上信息和分析方法存在局限性。特此声明。 免责声明 本研究报告由方正证券制作及在中国(香港和澳门特别行政区、台湾省除外)发 布。本研究报告仅供方正证券的客户使用,本公司不会因接收人收到本报告而视其为 本公司的当然客户。 在任何情况下,本报告的内容不构成对任何人的投资建议,也没有考虑到个别客户 特殊的投资目标、财务状况或需求,方正证券不对任何人因使用本报告所载任何内容 所引致的任何损失负任何责任,投资者需自行承担风险。
101. 本报告版权仅为方正证券所有,本公司对本报告保留一切法律权利。未经本公 司事先书面授权,任何机构或个人不得以任何形式复制、转发或公开传播本报告的 全部或部分内容,不得将报告内容作为诉讼、仲裁、传媒所引用之证明或依据,不 得用于营利或用于未经允许的其它用途。如需引用、刊发或转载本报告,需注明出 处且不得进行任何有悖原意的引用、删节和修改。 公司投资评级的说明 强烈推荐:分析师预测未来半年公司股价有20%以上的涨幅; 推荐:分析师预测未来半年公司股价有10%以上的涨幅; 中性:分析师预测未来半年公司股价在-10%和10%之间波动; 减持:分析师预测未来半年公司股价有10%以上的跌幅。 行业投资评级的说明 推荐:分析师预测未来半年行业表现强于沪深300指数; 中性:分析师预测未来半年行业表现与沪深300指数持平; 减持:分析师预测未来半年行业表现弱于沪深300指数。
102. THANKS 专注 专心 专业 联系人: 李萌 limeng1@foundersc.com 方正证券研究所 北京市西城区展览路48号新联写字楼6层 上海市浦东新区新上海国际大厦33层 广东省深圳市福田区竹子林四路紫竹七路18号光大银行大厦31楼 湖南省长沙市天心区湘江中路二段36号华远国际中心37层

Home - Wiki
Copyright © 2011-2024 iteam. Current version is 2.129.0. UTC+08:00, 2024-07-02 13:44
浙ICP备14020137号-1 $Map of visitor$