中芯国际(688981)深度报告:中国晶圆代工龙头,半导体国产替代先锋

如果无法正常显示,请先停止浏览器的去广告插件。
分享至:
1. 2020 年 07 月 22 日 研究所 证券分析师: 公司研究 评级:买入(首次覆盖) 中国晶圆代工龙头,半导体国产替代先锋 吴吉森 S0350520050002 wujs01@ghzq.com.cn ——中芯国际(688981)深度报告 投资要点: 最近一年走势 中芯国际 沪深300 0.3000 0.2500  国内半导体晶圆代工龙头。中芯国际作为全球第五大、中国大陆技术 最先进、规模最具优势的晶圆代工企业,为客户提供 0.35um 至 14nm 多种技术节点、不同工艺平台的集成电路晶圆代工及配套服务。我们 认为公司作为中国大陆晶圆代工龙头企业,是中国半导体产业链实现 自主可控的关键所在,战略意义重大,公司制程工艺节点完善,在技 术研发、客户资源等方面具备较强的竞争优势,将充分受益于半导体 产业链东移和国产替代加速的大趋势。预计公司 2020-2022 年归属 于母公司净利润分别为 20.27/26.39/30.96 亿元,对应 EPS 分别为 0.28 /0.37/0.43 元/股,当前股价对应 PE 估值分别为 279/214/183 倍。首次覆盖,给予“买入”评级。  产业趋势不断向好+国产替代全面提速,国内晶圆代工行业市场前景 广阔。晶圆制造是半导体产业链的核心环节,技术、资金门槛较高。 当前消费电子产品向智能化、轻薄化、便携化方向发展,新的智能终 端产品层出不穷,半导体产业的市场前景越来越广阔,以物联网为代 表的新需求所带动的如云计算、人工智能、大数据等新应用的兴起, 逐渐成为半导体行业新一代技术变革动力。半导体行业持续快速发 展,驱动晶圆代工市场不断向好,根据 IC Insights 数据,2019 年全 球晶圆代工行业市场空间为 568.75 亿美元,其中,中国大陆地区晶 圆代工市场规模为 113.57 亿美元(+6%),2019 年只有中国大陆纯 晶圆代工市场销售额实现正增长。我们认为国内半导体行业发展趋势 不断向好,IC 设计行业持续快速发展,再加上国产替代全面提速, 中国晶圆代工市场迎来历史性发展机遇。  中芯国际:持续研发先进制程,中国半导体国产替代先锋。在晶圆 代工市场格局中,台积电呈现一家独大的局面,市场份额超过 50%, 占据大部分高端市场;中芯国际作为国内晶圆代工龙头,处于全面追 赶的态势,未来有望成为全球第二大纯晶圆代工厂。2019 年公司研 发投入为 47.44 亿元,不断加大先进制程研发力度,成为中国大陆第 一家提供国际领先的 14nm 技术节点的晶圆代工企业,目前公司 14nm 已经贡献收入,同时,加码研发 N+1(对应 10nm)、N+2(对 应 7nm)工艺。我们认为 14nm 以下晶圆代工企业数量屈指可数, 竞争格局更为良性,5G 时代对高性能运算需求提升,公司先进制程 业务将成为未来营收增长的主要推动力;在华为事件后,晶圆代工国 产替代将是大势所趋,中芯国际作为半导体国产替代先锋,市场份额 0.2000 0.1500 0.1000 0.0500 0.0000 -0.0500 -0.1000 20/07 相对沪深 300 表现 表现 1M 3M 12M 中芯国际 -5.2 -5.2 -5.2 沪深 300 14.4 22.2 24.0 市场数据 当前价格(元) 52 周价格区间(元) 2020-07-21 78.63 70.02 - 95.00 总市值(百万) 581018.00 流通市值(百万) 510390.04 总股本(万股) 738926.62 流通股(万股) 649103.44 日均成交额(百万) 近一月换手(%) 23834.55 17.90 相关报告 合规声明 国海证券股份有限公司持有该股票未超过 该公司已发行股份的 1%。 证券研究报告 请务必阅读正文后免责条款部分
2. 证券研究报告 有望持续提升,引领国产化大浪潮。  风险提示:关键设备受国际动荡环境影响难以正常购入;14nm 制程 产能爬坡不顺利;低端产品行业竞争加剧风险;贸易摩擦加剧影响下 游市场需求;募投项目进展不及预期风险。 预测指标 主营收入(百万元) 增长率(%) 归母净利润(百万元) 增长率(%) 摊薄每股收益(元) ROE(%) 2019 2020E 2021E 2022E 22018 26468 31250 36048 -4% 20% 18% 15% 1794 2027 2639 3096 140% 13% 30% 17% 0.33 0.28 0.37 0.43 2.52% 1.61% 2.07% 2.40% 资料来源:Wind 资讯、国海证券研究所 请务必阅读正文后免责条款部分 2
3. 证券研究报告 内容目录 1、 半导体市场空间广阔,晶圆制造是产业核心 .......................................................................................................... 6 1.1、 半导体市场螺旋上升,新技术驱动新周期 ....................................................................................................... 6 1.2、 晶圆制造环节壁垒高,市场前景空间广阔 ....................................................................................................... 7 1.3、 Fabless+Foundry 分工模式正迎来黄金时代 ................................................................................................... 9 1.4、 技术趋势:5G 时代先进制程与成熟制程齐头并进 ........................................................................................ 12 1.5、 半导体产业持续东移,晶圆代工国产替代空间大 .......................................................................................... 16 2、 晶圆代工格局:一超多强,台积电一家独大 ........................................................................................................ 19 2.1、 台积电:制程领先,全球晶圆代工领头羊 ..................................................................................................... 21 2.2、 三星电子:台积电在最先进制程的挑战者 ..................................................................................................... 22 2.3、 格芯:向特色化、差异化工艺迈进 ............................................................................................................... 23 2.4、 联电:以退为进、精益求精 .......................................................................................................................... 24 3、 中芯国际:中国晶圆制造龙头,半导体国产替代先锋 ......................................................................................... 25 3.1、 国内晶圆代工龙头,业绩规模稳步增长 ........................................................................................................ 25 3.2、 公司核心管理团队强大,研发实力出众 ........................................................................................................ 29 3.3、 14nm 制程量产,持续研发更先进制程工艺 .................................................................................................. 31 3.4、 下游客户资源丰富,华为、高通、博通均为客户 .......................................................................................... 35 3.5、 科创板上市募投扩产先进产线,未来成长可期 ............................................................................................. 36 4、 盈利预测与投资建议 ............................................................................................................................................ 37 4.1、 关键假设 ....................................................................................................................................................... 37 4.2、 盈利预测与投资建议 ..................................................................................................................................... 37 5、 风险提示 .............................................................................................................................................................. 38 请务必阅读正文后免责条款部分 3
4. 证券研究报告 图表目录 图 1:全球半导体市场呈现螺旋式上升规律 .................................................................................................................. 6 图 2:预计 2025 年 VR/AR 市场达到 1510/1410 亿美元 .............................................................................................. 7 图 3:国内 5G 手机渗透率正不断提升 .......................................................................................................................... 7 图 4:全球 TWS 耳机出货量及预测 .............................................................................................................................. 7 图 5:2015-2019 年物联网新增设备数 ......................................................................................................................... 7 图 6:集成电路产业链全景 ........................................................................................................................................... 8 图 7:每 5 万片晶圆产能的设备投资(亿美元) .......................................................................................................... 8 图 8:逻辑和存储 IC 建厂费用对比(十亿美元) ......................................................................................................... 8 图 9:全球晶圆代工产值稳步提升 ................................................................................................................................ 9 图 10:大陆集成电路制造市场快速增长 ....................................................................................................................... 9 图 11:晶圆代工来自中国大陆的营收逆势增长(亿美元) .......................................................................................... 9 图 12:2019 年我国是晶圆代工第二大单一市场 ........................................................................................................... 9 图 13:IDM 和 Foundry 代表企业 ............................................................................................................................... 10 图 14:AMD 桌面 CPU 性能已超过 IDM 龙头 Intel ..................................................................................................... 11 图 15:2019 年手机处理器 Foundry 模式遥遥领先 .................................................................................................... 11 图 16:Google 自研手机 SoC 将交由三星代工 ........................................................................................................... 12 图 17:阿里巴巴旗下平头哥设计的含光 AI 芯片 ......................................................................................................... 12 图 18:制程发展在后摩尔时代显著放缓 ..................................................................................................................... 12 图 19:28nm 及以下先进制程 IC 设计成本增长明显 .................................................................................................. 12 图 20:许多特色工艺节点并不主要依赖制程的缩小(单位:nm) ............................................................................ 15 图 21:采用成熟制程的半导体传感器/执行器市场快速增长(单位:百万美元) ....................................................... 15 图 22:28nm 制程是单位逻辑门成本最低 .................................................................................................................. 16 图 23:28nm 及以上成熟制程占据 60%以上营收 ....................................................................................................... 16 图 24:2019 年我国半导体市场下滑幅度低于全球 ..................................................................................................... 16 图 25:我国半导体销售额全球占比持续提升 .............................................................................................................. 16 图 26:中国半导体集成电路市场规模及自给率(亿美元) ........................................................................................ 17 图 27:我国集成电路行业贸易逆差仍超 2000 亿美元 ................................................................................................ 17 图 28:中国主要晶圆厂分布及产能情况 ..................................................................................................................... 18 图 29:国内集成电路制造业的占比不断提升 .............................................................................................................. 19 图 30:中国半导体制造环节比例明显低于全球 .......................................................................................................... 19 图 31:中国台湾是晶圆代工的绝对主力 ..................................................................................................................... 20 图 32:晶圆代工领域一超多强的格局十分稳定 .......................................................................................................... 20 图 33:2015-2020 年台积电营业收入稳步增长 ......................................................................................................... 21 图 34:2015-2020 年台积电净利润稳步增长 .............................................................................................................. 21 图 35:智能手机、HPC 是台积电芯片主要下游 ......................................................................................................... 22 图 36:先进制程为台积电营收的主要来源 .................................................................................................................. 22 图 37:2018Q3-2020Q1 三星电子营业收入与增速 .................................................................................................... 23 图 38:2018Q3-2020Q1 三星电子净利润与增速 ........................................................................................................ 23 图 39:2015-2019 年格罗方德营业收入与增速 .......................................................................................................... 23 图 40:格罗方德晶圆产线布局三大洲 ......................................................................................................................... 23 图 41:联电制程与工艺发展棋盘图 ............................................................................................................................ 24 图 42:2015-2020Q1 联华电子营业收入与增速 ......................................................................................................... 25 图 43:2015-2020Q1 联华电子净利润与增速 ............................................................................................................. 25 图 44:中芯国际发展历程 ........................................................................................................................................... 25 请务必阅读正文后免责条款部分 4
5. 证券研究报告 图 45:公司提供晶圆制造一站式服务 ......................................................................................................................... 26 图 46:公司制程及工艺完善,代工产品线丰富 .......................................................................................................... 26 图 47:公司营收规模持续扩大 .................................................................................................................................... 27 图 48:公司归母净利润波动较大 ................................................................................................................................ 27 图 49:晶圆代工业务是公司主要营收来源 .................................................................................................................. 28 图 50:成熟制程贡献了公司晶圆代工业务主要营收 ................................................................................................... 28 图 51:公司在中国大陆营收占比不断提升 .................................................................................................................. 28 图 52:通讯和消费电子是公司产品主要应用领域 ....................................................................................................... 28 图 53:2020 年公司资本开支将达到 31 亿美元 .......................................................................................................... 29 图 54:2020 年资本开支主要用于先进制程(亿美元) .............................................................................................. 29 图 55:2019 年公司研发人员占比达 16.02%.............................................................................................................. 30 图 56:公司研发支出及占营收比持续提升 .................................................................................................................. 30 图 57:14nm 是公司当前研发费用主要去向 ............................................................................................................... 31 图 58:公司专利储备丰富 ........................................................................................................................................... 31 图 59:公司 28nm 营收占比开始回升 ......................................................................................................................... 34 图 60:公司 14nm 产能规划(KW/M) ...................................................................................................................... 34 图 61:公司各个晶圆厂月产能(约当 8 英寸,片)及产能利用率情况 ...................................................................... 35 图 62:中国 Fabless 厂商迅速崛起 ............................................................................................................................ 36 表 1:5G 手机频段数的增加带动射频器件需求的增长 ............................................................................................... 11 表 2:主要晶圆制造厂商先进制程规划进度 ................................................................................................................ 13 表 3:各大厂商陆续退出先进制程研发 ....................................................................................................................... 13 表 4:先进制程主要客户多为逻辑芯片设计大厂 ......................................................................................................... 14 表 5:全球晶圆制造产能格局 ...................................................................................................................................... 19 表 6:晶圆代工行业可比上市公司经营情况(亿元) ................................................................................................. 21 表 7:公司综合毛利率与可比上市公司的对比情况 ..................................................................................................... 27 表 8:公司管理团队强大 ............................................................................................................................................. 29 表 9:公司逻辑工艺技术平台先进度高 ....................................................................................................................... 31 表 10:公司特色工艺技术平台达到国际领先水平 ....................................................................................................... 32 表 11:14nm 及以下工艺特点 ..................................................................................................................................... 33 表 12:公司与主要竞争对手在关键技术节点的量产时间对比 ..................................................................................... 33 表 13:公司主要产线情况 ........................................................................................................................................... 34 表 14:公司主要客户销售额占比情况 ......................................................................................................................... 35 表 15:公司募集资金投资计划 .................................................................................................................................... 36 表 16:中芯国际 2020-2022 营收和利润预测 ............................................................................................................... 37 表 17:中芯国际可比公司估值 .................................................................................................................................... 38 请务必阅读正文后免责条款部分 5
6. 证券研究报告 1、 半导体市场空间广阔,晶圆制造是产业核心 1.1、 半导体市场螺旋上升,新技术驱动新周期 半导体产业作为现代信息产业的基础和核心产业之一,是衡量一个国家或 地区现代化程度以及综合国力的重要标志,半导体行业在过去数十年内遵循一 个螺旋式上升的过程,放缓或衰落后又会重新经历一次更强劲的复苏。纵观半 导体行业的发展历史,虽然行业呈现明显的周期性波动,但整体增长趋势并未发 生变化,而每一次技术变革是驱动行业持续增长的主要动力。这背后是科技革命 带来的成长,2019 年,全球半导体市场经历了 2001 年互联网泡沫破灭以后较 大幅度的下滑,半导体市场规模降至 4123 亿美元,下降幅度达 12%,超过了 2008 年金融危机以后 9%的下滑幅度,2020 年后在新兴领域的持续驱动下,半 导体行业有望筑底回暖,进入稳定增长期。我们认为,伴随着 5G、AI、云计算 应用的兴起,半导体行业即将迎来新的机遇,新一轮上升周期即将开启。根据 WSTS 2020 年 6 月 9 号的预测, 2020 年和 2021 年全球半导体市场将分别同比 增长 3.3%和 6.2%,2021 年全球半导体市场将加速回升至 4523 亿美元。 图 1:全球半导体市场呈现螺旋式上升规律 资料来源:WSTS、国海证券研究所 5G 时代新应用不断涌现,将启动半导体行业新一轮的上升周期。5G 将掀 起整个行业的变革,深刻改变人们的生产和生活方式,进而推动人类社会全面进 入数字化时代。5G 可以覆盖人人、物物、人物,使所有的事物都通过网络进行 连接,满足不同行业、不同用户对通信的复杂需求。 5G 时代大数据量、低时延、 传输速度快的特性为下游新应用提供了快速增长的沃土。 5G 手机、 VR/AR 设备、 TWS 耳机、 IOT 设备已经开启了高速增长的引擎。 根据 ABI research 预测,到 2025 年,AR/VR 市场将分别达到 1510/1410 亿美 元;根据中国信通院数据,尽管 2020 年新冠疫情对消费电子市场,尤其是手机 请务必阅读正文后免责条款部分 6
7. 证券研究报告 销量产生较大冲击,我国 5G 手机渗透率仍在不断提升,2020 年 5 月我国 5G 手机渗透率已快速增长至 46.3%;根据亿欧/艾媒预测,2020 年 TWS 耳机将翻 倍增长至 2.3 亿只;2015-2019 年,全球新增物联网设备数不断增加,意味着全 球物联网设备数处于加速增长的状态。可以看出,半导体新一轮上行周期的大门 已经打开,新应用、新场景的不断出现将持续输出动能。 图 2:预计 2025 年 VR/AR 市场达到 1510/1410 亿美元 2000 1500 1000 图 3:国内 5G 手机渗透率正不断提升 2000 50% 1600 40% 1200 30% 800 20% 400 10% 0 0% 500 0 AR VR 出货量(万) 占比 资料来源:ABIresearch、国海证券研究所整理 资料来源:中国信通院、国海证券研究所 图 4:全球 TWS 耳机出货量及预测 图 5:2015-2019 年物联网新增设备数 23000 25000 20000 15000 11000 10000 5000 4600 918 2000 2016 2017 0 2018 2019 出货量(万) 资料来源:亿欧、艾媒、国海证券研究所整理 2020E 3500 3000 2500 2000 1500 1000 500 0 3054 2594 1691 2015 1955 2016 2173 2017 2018 2019 新增物联网设备数(百万个) 资料来源:Yole、国海证券研究所 1.2、 晶圆制造环节壁垒高,市场前景空间广阔 半导体产业链环节众多,专业分工程度高,制造是产业链核心环节。半导 体产业链上下游包括三大环节:IC 设计、晶圆制造加工以及封装测试、应用。 其中,IC 设计是指 IC 设计公司根据产品需求、产品功能设计芯片,并把它委托 给晶圆代工厂进行生产加工;晶圆制造购买原材料通过提纯、制造晶棒、晶片分 片、抛光、光刻等多道程序将设计好的电路图移植到晶圆上;完成后的经营再送 往下游封测厂进行封装测试最后移交给下游厂商,半导体产业往往由技术驱动催 生出新的下游应用。 请务必阅读正文后免责条款部分 7
8. 证券研究报告 图 6:集成电路产业链全景 电路设计公司 芯片制造公司 三星 英特尔 SK海力士 美光 博通 高通 东芝 德州仪器 英伟达 西部数据 恩智浦 英飞凌 意法半导体 苹果 华为海思 展讯 RDA 华大半导体 大唐电信 国民技术 汇顶科技 中星微电子 北京君正 豪威科技 敦泰电子 瑞芯微 全志科技 兆易创新 IP EDA 芯片设计 台湾台积电 美国格罗方德 台湾联华电子 韩国三星 上海中芯国际 台湾力晶科技 Tower Jazz 台湾Vanguard 上海华虹宏力 日本富士通 美国英特尔 无锡SK海力士 长江存储科技 上海ASMC 华润上华科技 上海华力微电子 材料厂 硅晶圆 掩膜板制造 设备生产商 应用材料 Lam Research Tokyo Elector ASML KLA-Tencor Screen SEMES 日立高新 日立国际电气 Daifuku ASM Internatinal Nikon 中电科电子装备 浙江晶盛机电 深圳捷佳伟创 北京北方华创 中微半导体 上海微电子 北京京运通 浙江天通吉成 盛美半导体 格兰达 掩膜板投入 靶材 芯片制造 CM P材料 设备投入 制造设备 光刻胶 材料、 化学品 设备投入 集成电路 产业链全景 封装测试 日本信越、日本胜高、环球晶圆、德国世创、LG Siltron、 法国Soitec、台湾合晶、Okmetic、台湾嘉晶、上海新昇、 重庆超硅、宁夏银和、天津中环、浙江金瑞泓、郑州合晶 、北京奕斯伟 JX日矿金属、霍尼韦尔、东曹、普莱克斯、江丰电子、有 研新材 抛光垫:陶氏化学、Cabot、Thomas West、富士纺、日本JSR 抛光液:Cabot、杜邦、Rodel、Eka、日本fujimi、Hinomoto、 Kenmazai、韩国Ace、安集微电子、首聘新材料、鼎龙股份 日本JSR、信越化学、日本TOK、陶氏化学、苏州瑞红、北京 科华 湿电子化学 品 江化微电子、晶瑞股份 电子特种气 体 空气化工、普莱克斯、林德集团、液化空气、日本大阳 日酸、中船重工、南大光电、上海至纯 光罩 Photronics、日本DNP、日本Toppan、路维光电、菲利华 封装测试厂 台湾日月光 美国安靠 江苏长电科技 矽品科技 台湾力成科技 天水华天科技 南通通富微电 台湾京元电子 联测 台湾南茂 新加坡联合科技 台湾颀邦科技 南通富士通微电子 韩国Nepes 马来西亚Unisem 苏州晶方科技 深圳气派科技 无锡华润安盛 消费电子 下游应用 汽车电子 航空电子 ... 计算机 5G通信 医学应用 手机 工业控制 AR/VR IOT 传感器 技术驱动 教育应用 存储器/服务器 资料来源:ittbank、国海证券研究所 晶圆制造是半导体产业链上资金门槛最高的环节。集成电路越先进,制造 技术和工艺就越加难,所需设备也越加贵重。以刻蚀环节为例,20nm 工艺所需 要的全工序步骤约 1000 道,刻蚀步骤大约 55 道,而 7nm 所需要的工序为 1500 道,刻蚀步骤增加至 150 道,工序的大幅增加意味着更多的制造设备。根据 IBS 数据, 一条 5 万片/月产能的产线,在 28nm 节点地设备投资额约为 39.5 亿美元, 而同样产能地 7nm 产线则要花 114.5 亿美元。另外, TEL 估计每月 10 万片产能 的工厂,以 1y nm DRAM 与 9XL NAND 等级的工厂要 70 亿美元,如果是逻辑 芯片则是 180 亿美元,存储器工厂中,15 亿美元是基础建设,49 亿美元用于前 端工程设备,后端工程则是 7 亿美元。至于逻辑芯片厂的 180 亿美元中,有 21 亿美元用于基础建设,前端工程需要 144 亿美元,后端工程则是 14 亿美元。 图 7: 每 5 万片晶圆产能的设备投资(亿美元) 图 8:逻辑和存储 IC 建厂费用对比(十亿美元) 220 170 120 70 20 -30 每5万片晶圆产能的设备投资(亿美元) 资料来源:IBS、国海证券研究所 请务必阅读正文后免责条款部分 资料来源:Digitimes、TEL、国海证券研究所 8
9. 证券研究报告 晶圆制造市场空间广阔,2019 年我国市场逆势增长。晶圆制造分为 IDM 模 式和 Foundry(代工)模式,以晶圆代工市场为例,根据 IC Insights 数据, 2014-2019 年,全球晶圆代工产值稳步提升,从 427 亿美元增长至 568.75 亿美 元,CAGR 达 5.90%,2019 年世界集成电路纯晶圆代工市场规模较 2018 年纯 晶圆代工市场规模收入同比下降 2.18%,只有中国大陆地区实现增长,同比增 长 5.87%,欧洲和日本的晶圆代工市场均出现两位数的下滑。根据拓墣产业研 究院在 2020 年 4 月的预测,2020 年全年晶圆代工增长率约为 6.8%,据此推算 2020 年全球晶圆代工总产值为 607.43 亿美元。 图 10: 大陆集成电路制造市场快速增长 图 9:全球晶圆代工产值稳步提升 700 600 500 427.00 456.00 504.00 548.00 578.05 568.75 607.43 400 300 200 100 0 14% 12% 10% 8% 6% 4% 2% 0% -2% -4% 2,500 30% 2,000 25% 20% 1,500 15% 1,000 10% 500 5% 0 0% 2013 2014 2015 2016 2017 2018 2019 2014 2015 2016 2017 2018 2019 2020F 全球晶圆代工产业产值(亿美元) 同比 中国集成电路制造业销售额(亿元) 同比 资料来源:IC Insights、拓墣研究院、国海证券研究所 资料来源:IC Insights、国海证券研究所 图 11:晶圆代工来自中国大陆的营收逆势增长(亿美元) 图 12: 2019 年我国是晶圆代工第二大单一市场 350 300 250 200 150 100 50 0 10% 5% 0% -5% 欧洲, 6.0% 亚太地 区, 13.6% 其他, 日本, 4.6% 5.0% -10% 美洲地 区, 51.7% -15% 美洲地区 中国 亚太地区 2018 欧洲 2019 资料来源:IC Insights、国海证券研究所 日本 同比 中国, 19.0% 资料来源:IC Insights、国海证券研究所 1.3、 Fabless+Foundry 分工模式正迎来黄金时代 集成电路制造行业分为 IDM 模式和 Foundry 模式,大趋势是从整合走向垂 直分工,Foundry 正迎来黄金时代。IDM(垂直整合模式)是指集成电路企业 除了开展设计业务,还拥有产业链下游的晶圆制造厂、封装测试厂,采用 IDM 模式主要代表企业为 Samsung、Intel 等,主要涉及逻辑芯片、存储器等产品, 也有像 TI、STM 这样的模拟芯片厂商。Foundry(晶圆代工)是集成电路行业 中芯片代工厂的简称。 20 世纪 80 年代集成电路行业厂商大多以 IDM 模式为主, 请务必阅读正文后免责条款部分 9
10. 证券研究报告 随着行业市场的不断细分,到 20 世纪 90 年代初集成电路行业逐步向轻资产、 专业性更强的 Fabless 经营模式转变,从 20 世纪 90 年代开始,台湾集成电路 行业出现了垂直分工模式,即每一家公司都只负责半导体产业链中游的某一个环 节:例如负责设计的企业不再拥有自己的生产线,这类企业专注于半导体产品的 版图设计, 这类企业被业界称为 fabless 厂商;而负责制造的企业被称为 Foundry, Foundry 只做晶圆代工;Foundry 厂完成 IC 产品的加工后,便将其输送给下游 独立的封装公司和测试公司(Assembly & Test)。目前,世界上著名的 Foundry 厂商有台积电、格罗方德、台联电、中芯国际等。 Foundry 专注晶圆代工,相比 IDM 具有制程迭代速度快、客户更多元的优 势。由于制造环节的重资产属性,并且更新换代迅速,导致 IDM 厂商很难跟上 迅速发展的 IC 产品迭代,IDM 多集中在对先进制程不太敏感的模拟芯片或存储 器等产品,对于 Foundry 来说,由于长期专注于晶圆代工业务,给自己的定位 明确,并能持之以恒,另外这种商业模式的多客户、多产品线、多制程特点,比 IDM 和 Fabless 更加厚重且多元,某种程度上,其抗风险能力更强。 图 13: IDM 和 Foundry 代表企业 资料来源:SEMI、国海证券研究所 Fabless+Foundry 的分工模式的主要驱动力为三点:一是芯片元器件用量 提升,二是 IDM 芯片制造外包业务增加,三是设备和互联网厂商自研芯片增加。 5G、物联网时代终端设备芯片需求量快速提升。芯片元器件用量提升方面 最直观的感受就是 CIS(CMOS 图像传感器)需求的暴增,由于手机摄像头的 数量呈翻倍态势,使得 CIS 需求旺盛,行业陷入了 CIS 产能危机,给多家晶圆 代工厂带来了商机;除此之外,5G 落地大幅提升了手机射频模组需求,由于 5G 手机需要支持的频段数量正在增加,预计单机滤波器将从 40 个增加至 70 个, 射频开关从 10 个增加至 30 个,PA 从 4G 时期的 6-7 个增加至 15 个;TWS 耳 机市场强劲增长同样为终端芯片带来强劲需求,随着 TWS 产品技术的成熟和成 本的下降,有望成为手机标配,将促使 TWS 出货量大幅提升,2020 年 TWS 整 体出货量有望突破 2 亿,这将进一步推升市场对 TWS 蓝牙芯片的需求量;物联 网方面,相关的传感器、MCU、存储器、电源 IC、射频器件等需求量非常大, 一般单个物联网连接对应 1-2 个无线通信模块,物联网百亿级别的连接数,对无 线通信模块的需求空间较大。以上这些芯片的增量,大都需求晶圆代工厂消化。 请务必阅读正文后免责条款部分 10
11. 证券研究报告 表 1:5G 手机频段数的增加带动射频器件需求的增长 滤波器 射频开关 PA(功功率放大器) 4G 手机单机需求 40 个 10 个 6-7 个 5G 手机单机需求 70 个 30 个 15 个 资料来源:国海证券研究所 优秀 Fabless 厂商的崛起倒逼 IDM 企业芯片制造外包增加:IDM 的大部分 芯片元器件都是在自家工厂制造并封装的,但在过去的 10 年里,这种情况一直 在发生变化,特别是模拟或模数混合类芯片,IDM 外包给晶圆代工厂的数量和 比例逐年增加。传感器方面:如近期索尼将部分 CIS 外包给台积电,模拟芯片 方面:意法半导体(STM) 、英飞凌在化合物半导体方面正在与台积电需求更紧 密的合作。近年来,逼迫国际 IDM 大厂调整产能策略的一个重要因素,是大量 的 Fabless 公司轻装上阵,并结合了晶圆代工公司的制造优势,对 IDM 大厂形 成了威胁,这一点在逻辑芯片的竞争上体现得尤为明显,台积电工艺制程大幅领 先,而 Intel 高端制程持续难产,Fabless 厂商 AMD 的 PC 端 CPU 性能已经超 过 IDM 龙头 Intel;在智能手机行业,苹果 A 系列、华为麒麟和高通骁龙芯片均 为 Fabless 模式,而三星自研自产的 Exynos 系列已经在竞争中逐渐退出高端市 场的舞台,2019 年,高通、联发科、苹果、海思手机处理器份额合计达 82.8%, 而三星处理器份额仅为 14.1%,反差明显,诸多 IDM 厂商一方面降低产能投资, 另一方面将资源投入在提升 IC 设计部门的竞争力方面。 图 14:AMD 桌面 CPU 性能已超过 IDM 龙头 Intel 图 15:2019 年手机处理器 Foundry 模式遥遥领先 海思, 11.7% 其他, 3.1% 高通, 33.4% 苹果, 13.1% 三星, 14.1% 资料来源:驱动之家、国海证券研究所整理 联发科, 24.6% 资料来源:Counterpoints、国海证券研究所 设备和互联网厂商自研芯片增加对 Foundry 的需求:近些年,产业链下游 的设备和互联网厂商自研芯片的案例越来越多,而这些创新的芯片也都主要交由 晶圆代工厂生产,从而为未来几年的芯片代工业增添了更多的营收增长点。以谷 歌、亚马逊、微软和阿里巴巴为代表的大型互联网和云服务提供商,无论是在云 端,还是在边缘侧,都在寻找并替换着传统的 CPU 或 GPU,在中国,百度、阿 里和腾讯都已经开始自研芯片,且已有或者即将洽谈晶圆代工合作伙伴。 请务必阅读正文后免责条款部分 11
12. 证券研究报告 图 16:Google 自研手机 SoC 将交由三星代工 图 17:阿里巴巴旗下平头哥设计的含光 AI 芯片 资料来源:雷锋网、国海证券研究所整理 资料来源:平头哥、国海证券研究所 1.4、 技术趋势:5G 时代先进制程与成熟制程齐头并进 1.4.1、 先进制程迭代速度放缓,追赶者与龙头企业差距缩小 集成电路技术进入后摩尔时代,先进制程迭代速度放缓。制程方面,摩尔 定律认为集成电路上可容纳的元器件的数目,约每隔 18-24 个月便会增加一倍, 性能也将提升一倍。这也引导芯片的制程不断发展,从 1987 年以来的 1um 到 2015 的 14nm 都是符合摩尔定律发展的。但 2015 年 10nm 以下,制程发展乏 力,7nm 和 5nm 先进制程量产进度已推迟很久,制程发展进入后摩尔时代。虽 然现在先进制程已进入 10nm 及以下,但是资本开支庞大。据 Gartner 统计, 16nm/14nm 芯片的平均 IC 设计成本约为 8000 万美元,而 28nm 体硅制程器件 约为 3000 万美元,设计 7nm 芯片则需要 2.71 亿美元。因此对于没有庞大资金 支持的非一线阵营厂商,工艺完善的成熟制程为主流选择。 图 18: 制程发展在后摩尔时代显著放缓 图 19:28nm 及以下先进制程 IC 设计成本增长明显 6 5 4 3 2 1 0 1200 1000 800 600 400 200 1987 1989 1991 1993 1995 1997 1999 2001 2003 2005 2007 2009 2011 2014 2019 2020 0 制程节点(nm) 资料来源:Wind、国海证券研究所 设计成本(千万美元) 资料来源:IC Insights、国海证券研究所 先进制程(16/14nm 及以下制程)目前主要晶圆制造业者包括台积电、三 星、英特尔、格芯、联电、中芯国际等 6 家。其中 5 家晶圆代工业者仍有持续 扩建产能的为台积电、三星与中芯国际,联电与格芯主要以填补产能利用率为主 请务必阅读正文后免责条款部分 12
13. 证券研究报告 要目标。其中台积电的 7nm 产能已满,而三星则聚焦于 5nm 工艺。格罗方德放 弃 7nm 以下先进制程研发,台联电放弃 12nm 以下先进制程投资。目前,中芯 国际在 14nm 甚至 7nm 有所布局,在 2019 年底实现 14nm 的实际营收后,在 2021 年将有大量的量产计划。我们认为,在后摩尔定律时代,晶圆制程迭代速 度放缓给了以中芯国际为代表的先进制程追赶者更多的时间空间进行追赶,假 设制程工艺路线保持稳定,追赶者与龙头企业的差距将越来越小。 表 2:主要晶圆制造厂商先进制程规划进度 2011 台积电 2013 28 三星 英特尔 2012 28 22 2014 2015 20 22 2016 2017 2018 16FF 10 7 14FF 10 14FF 方德 台联电 14FF 7 22 7(放 FD-SOI 弃) 28 2020F 7nm P 5 7nm + 6 5 10(T) 格罗 28 8 2019 2021F 2022F 2023F 3nm (T) 2024F 2 3nm (T) 10 (M) 10nm+ 10nm++ 7nm+ 7nm++ 14 中芯 28 国际 14FF 14nm 14nm N+1 FF(MP) 资料来源:拓璞产业研究院、国海证券研究所 先进制程的玩家屈指可数,10nm 以下的最先进制程目前仅台积电、三星、 英特尔有能力研发。如下表所示,在先进制程的追逐上留下的玩家越来越少,模 拟芯片龙头 TI 德州仪器的制程停留在了 45/40nm 节点, MEMS 传感器龙头 STM 意法半导体停留在了 32/28nm 的成熟节点,存储巨头 Toshiba 东芝的最先进节 点也停留在了 32/28nm;在先进制程节点领域,大多都为以台积电、格罗方德 为代表的纯晶圆代工厂或者以英特尔、三星为代表的逻辑芯片 IDM 巨头。在 10nm 以下节点,目前只有台积电、三星、英特尔有能力研发。 表 3:各大厂商陆续退出先进制程研发 180nm 130nm 90nm 65nm 45/40nm 32/28nm 22/20nm 16/14nm 10nm 7nm 5nm TSMC TSMC TSMC TSMC TSMC TSMC TSMC TSMC TSMC TSMC TSMC Samsung Samsung Samsung Samsung Samsung Samsung Samsung Samsung Samsung Samsung Intel Intel Intel Intel Intel Intel Intel Intel Intel AMD AMD AMD GF GF GF GF GF SMIC SMIC SMIC SMIC SMIC SMIC IBM IBM IBM IBM IBM IBM UMC UMC UMC UMC UMC UMC HLMC HLMC HLMC HLMC HLMC HLMC STM STM STM STM STM STM Panasonic Panasonic Panasonic Panasonic Panasonic Panasonic TI TI TI TI TI Fujitsu Fujitsu Fujitsu Fujitsu Fujitsu 请务必阅读正文后免责条款部分 SMIC IBM 13
14. 证券研究报告 Toshiba Toshiba Toshiba Toshiba Toshiba Renesas Renesas Renesas Renesas Renesas Freescale Freescale Freescale Sharo Sharo Sharo Infineon Infineon Infineon Sony Sony Sony Cypress Cypress Cypress 资料来源:SemiWiki、国海证券研究所 当前最先进制程市场的客户屈指可数,表现积极的主要是实力、财力雄厚 的逻辑芯片设计大厂商。 7nm 和 7nm EUV 产品以手机处理器和 GPU 芯片为 主,主要是针对 2020 旗舰级的智能手机,例如小米 10 和三星 S20 系列搭载的 骁龙 865 系列芯片。6nm 产品主要是针对高性能计算机芯片、GPU 和可能的智 能手机处理器。而在最先进的 5nm 产品上,主要是针对 5G 背景下的一些 AI 处 理器芯片,AI 芯片对于算力的要求远大于传统芯片,主要是头部智能手机厂商 和手机处理器厂商。 表 4:先进制程主要客户多为逻辑芯片设计大厂 公司 7nm 7nm EUV 6nm 5nm 超威 CPU/GPU CPU/GPU CPU/GPU CPU/GPU 苹果 smartphone/Tablet smartphone/Tablet smartphone/Tablet smartphone/Tablet 博通 5G modern 海思 AI accelerator 联发科 FPGA 英伟达 Silicon Photonic 高通 Crypto ASIC 三星 smartphone/Tablet ASIC ASIC 5G SoC Silicon Photonic FPGA 资料来源:拓璞产业研究院、国海证券研究所 1.4.2、 成熟制程领域特色工艺百花齐放,后续发展动力充沛 成熟制程的主要下游是存储芯片、模拟芯片以及传感器,百花齐放的特色 工艺是成熟制程领域的核心竞争力。混合讯号、高电压、射频、微机电系统(MEMS) 等制程技术,都可归类在成熟制程的大伞之下,应用产品则有各种感测器、微控 制器(MCU)、电源管理( PMIC)、讯号收发器(Tranceiver)等。云计算、CIS 传感 器、5G 射频器件需求的快速增长为成熟制程提供强劲动力。在这个相对分众化 的市场,有许多个别领域存在着小而美,拥有独到技术的晶圆代工业者。例如在 射频 PA 代工领域,稳懋就是一个不容小看的市场领导者,甚至被认为有机会成 为化合物半导体的台积电;至于在 MEMS、混合讯号领域,则有 X-Fab、 TowerJazz 等同样拥有独门技术跟明确市场定位的代工业者。 请务必阅读正文后免责条款部分 14
15. 证券研究报告 图 20:许多 特色工艺节点并不主要依赖制程的缩小(单位:nm) 资料来源:TowerJazz、国海证券研究所 采用成熟制程的半导体传感器/执行器产品市场快速崛起。根据 Yole 预测, 随着 5G、 IOT 时代的到来,采用成熟制程的诸多传感器市场将迎来快速成长期, 到 2023 年,射频器件的市场空间将达到 225 亿美元,成为传感器/执行器市场 单一大市场,作为手机光学创新核心元器件的 CIS 传感器市值将达到 215 亿美 元,仅次于射频器件,得益于自动驾驶技术、人脸识别等应用的快速发展,应用 于空间深度感知的 3D 传感器将增长至 140 亿美元。 图 21:采用成熟制程的半导体传感器/执行器市场快速增长(单位:百万美元) $100,000 $80,000 $60,000 $40,000 $20,000 $0 2017 2018E 2019E 2020E 2021E 2022E 2023E 其他 射频 雷达 3D传感器 光谱传感器 指纹传感器 磁传感器 CMOS图像传感器 (CIS) 微型电子机械系统 (MEMS) 气体传感器 资料来源:Yole、国海证券研究所 28nm 及以上节点市场需求依旧旺盛,2019 年全球半导体市场 28nm 及以 上制程占据 60%的营收。14nm 及以下制程的成本较高,制约其市场扩展速度。 当尺寸从 28nm 缩小到 22/20nm 时,必须采用辅助的两次图形曝光技术,制程 成本将提高 1.5 至 2 倍左右。16/14nm 制程成本将更高,这意味着发展先进制 程不再具有成本优势。虽然国际上先进制程的制造市场已进入 7nm,但从市场 需求量上来看,目前仍以 28nm 制程市场需求量占比最高。根据 IBS 研究成果, 28nm 为目前单位逻辑闸成本最小的技术节点,长周期制程属性明显,预计大多 数产品将逐步向更先进制程迁移,达到 28nm 后因其更高性价比及广泛的应用领 请务必阅读正文后免责条款部分 15
16. 证券研究报告 域将停留较长时间。根据 IBS 数据统计,28nm 及以上制程仍占据 2019 年 60% 左右的营收。28nm 工艺在频率调节、功耗控制、散热管理和尺寸压缩方面具有 显著的优势。IBS 预计 28nm 将成为各二线厂商未来 4 年之内的主流制程。 图 22:28nm 制程是单位逻辑门成本最低 图 23:28nm 及以上成熟制程占据 60%以上营收 5.0 4.0 3.0 2.0 1.0 0.0 单位逻辑门成本(美元) 资料来源:IBS、国海证券研究所 资料来源:IBS、国海证券研究所 1.5、 半导体产业持续东移,晶圆代工国产替代空间大 2019 年我国半导体市场下滑幅度低于全球下降幅度,半导体产业链东移趋 势仍在持续。2014-2019 年,中国半导体市场规模从 917 亿美元增长至 1441 亿 美元, 五年复合增长率达 9.46%, 2019 年中国半导体市场占全球比例达到 35%。 截至 2018 年,中国半导体始终保持快速增长的趋势,2019 年,受全球宏观环 境及产业趋势影响,全球半导体市场同比大幅下滑 12 %,中国市场同样遭受影 响,同比 2018 年下滑 8.74%,下滑幅度小于全球整体下滑幅度,原因为全球半 导体产业链向中国大陆转移的趋势仍在持续, 2015-2019 年,中国半导体市场再 全球市场的占比持续提升,由 2014 年的 27%提升至 2019 年 35%,年均提升 1.6 个百分点。全球半导体重心向中国转移的趋势确立,中国将通过承接第三次 半导体产业重心的转移,实现本土半导体产业链迅速发展成长。 图 24:2019 年我国半导体市场下滑幅度低于全球 图 25:我国半导体销售额全球占比持续提升 2,000 30% 100% 1,500 20% 80% 10% 60% 0% 40% -10% 20% -20% 0% 1,000 500 0 2014 2015 2016 2017 中国销售额(亿美元) 资料来源:WSTS、国海证券研究所 2018 2019 同比 27% 29% 32% 32% 34% 35% 2014 2015 2016 2017 2018 2019 中国销售额占比 其余地区占比 资料来源:WSTS、国海证券研究所 我国集成电路自给率较低,进口替代是中长期内产业主要逻辑。从供给端 请务必阅读正文后免责条款部分 16
17. 证券研究报告 来看,对比国内广阔的市场需求,国产半导体集成电路市场规模较小,2018 年 自给率约为 15%。从需求端分析,随着经济的不断发展,中国已成为全球占比 最高的电子产品生产及消费市场,半导体器件需求广阔,根据 IC Insights 统计, 从 2013 年到 2018 年中国半导体集成电路市场规模就从 820 亿美元扩大至 1550 亿美元,年均复合增长率约为 13.58%。根据海关总署数据显示,2019 年 我国集成电路进口额已达 3050 亿美元,出口额 1017 亿美元,集成电路贸易逆 差为 2033 亿美元,国产替代空间之大。 图 26: 中国半导体集成电路市场规模及自给率(亿美元) 1600 20% 1100 15% 图 27:我国集成电路行业贸易逆差仍超 2000 亿美元 2,500 25% 20% 15% 10% 5% 0% -5% -10% -15% 2,000 1,500 600 10% 100 5% -400 0% 1,000 500 0 中国IC市场规模 国产IC规模 资料来源:IC Insights、国海证券研究所 自给率 2012 2013 2014 2015 2016 2017 2018 2019 我国集成电路贸易逆差(亿美元) 同比 资料来源:海关总署、国海证券研究所 半导体产能逐渐转向中国大陆,晶圆厂项目将迎来大规模投建期。目前全 球主要的晶圆厂产能主要分布在美国、韩国、日本、台湾和大陆,由于海外巨头 在中国大陆有不少产线,大陆本土公司拥有的晶圆厂占全球产能不到 2%。 中 国大陆 2017-2020 年拟新建晶圆厂占全球的 42%,根据 SEMI 所发布的近两年 全球晶圆厂预测, 2017 年到 2020 年的四年间, 大陆将有 26 座新晶圆厂投产, 成为全球新建晶圆厂最积极的地区,整个投资计划占全球新建晶圆厂的 42%, 成为全球新建投资开支最多的地区。 请务必阅读正文后免责条款部分 17
18. 证券研究报告 图 28:中国主要晶圆厂分布及产能情况 序号 公司 1 台积电 2 英特尔 联芯集成电路制造(厦门)有限公司 厦门 上海 长鑫存储 中芯国际集成电路制造(上海)有限公司 中芯国际集成电路制造(北京)有限公司 中芯国际集成电路制造(北京)有限公司 中芯北方集成电路制造(北京)有限公司 中芯北方集成电路制造(北京)有限公司 合肥晶合集成电路有限公司 合肥晶合集成电路有限公司 长江存储科技控股有限责任公司 长江存储科技控股有限责任公司 长江存储科技控股有限责任公司 长鑫存储技术有限公司 合肥 生产项目 FinFET FinFET 处理器芯片 96层3D NAND Flash CMOS 3D NAND Flash DRAM DRAM 逻辑晶片代工 逻辑晶片代工 晶圆代工 晶圆代工 晶圆代工 Polysion工艺 28纳米HKMG工艺 LCD驱动芯片 LCD驱动芯片 CMOS&3D NAND Flash 64层NAND Flash DRAM DRAM 11 上海积塔 上海积塔半导体有限公司 上海 功率器件 0.18μm 65/55nm-40nm/28nm 12 华虹 上海华力集成电路制造有限公司 上海 28/22nm-14nm 4 华虹半导体(无锡)有限公司 联芯集成电路制造(厦门)有限公司 重庆万国半导体科技有限公司 重庆万国半导体科技有限公司 广州粤芯半导体技术有限公司 广州粤芯半导体技术有限公司 福建晋华集成电路有限公司 成都紫光国芯存储科技有限公司 南京紫光存储科技有限公司 无锡 厦门 全系列逻辑和特色工艺平台 低功耗和射频工艺、CIS、eNVM、 FD-SOI、FinFET 特色工艺 CMOS 功率半导体芯片MOSFET 功率半导体芯片MOSFET 模拟芯片,分立器件,图像传感器 模拟芯片,分立器件,图像传感器 NAND Flash和DRAM 3D NAND Flash 存储芯片 存储芯片 MOSFET,IGBT,电源管理芯片等PMIC 高压模拟、射频、光电集成芯片 特色工艺,MEMS、功率器件 特色工艺,MEMS、功率器件 特色工艺,MEMS、功率器件 MRAM(磁性存储器) MOSFETSuperJunction和IGBT等 CIDM平台 数模混合产品 模拟芯片,分立器件,图像传感器 12nm逻辑芯片 FinFET逻辑工艺 相变存储器EEPROM、NOR Flash 12寸模拟晶圆代工 10 8英寸6万/ 月,12英寸5 万/月 3.5 90nm-65nm 55-40nm 4 0.6 2 5 4 3 三星 4 SK海力士 5 联华(UMC) 6 中芯国际 7 中芯北方 8 晶合 9 长江存储 10 13 联芯集成 14 万国半导体 15 粤芯 16 晋华 17 紫光存储 18 19 华润微 中芯宁波 20 士兰集科 21 22 23 24 25 26 27 28 29 海康驰拓 济南富能 芯恩 广州海芯 城芯 泉芯 弘芯半导体 时代芯存 Tower Jazz 项目公司名称 台积电(南京)有限公司 台积电(南京)有限公司 工厂位置 英特尔半导体(大连)有限公司 大连 三星(中国)半导体有限公司 三星(中国)半导体有限公司 SK海力士半导体(中国)有限公司 SK海力士系统集成电路(无锡)有限公司 华润微电子(重庆)有限公司 中芯集成电路(宁波)有限公司 厦门士兰集科微电子有限公司 厦门士兰集科微电子有限公司 厦门士兰集科微电子有限公司 浙江海康驰拓科技有限公司 济南富能半导体有限公司 芯恩(青岛)集成电路有限公司 广州海芯CIDM项目 青岛城芯半导体科技有限公司 泉芯集成电路制造(济南)有限公司 武汉弘芯半导体制造有限公司 江苏时代芯存半导体有限公司 南京 西安 无锡 北京 合肥 武汉 重庆 广州 泉州 成都 南京 广州 重庆 宁波 厦门 杭州 济南 青岛 广州 青岛 济南 武汉 淮安 合肥 工艺参数 16nm 17nm 65-40nm 46-25nm 20-10nm 90-40nm,20nm 45-25nm,20nm 28nm 28nm/22nm 40-14nm 0.18μm-55nm 40-28nm 40-28nm 28nm 65-55nm 65-55nm 产 能 ( 万 片 /月 )投 资 额 2 4 6 25亿美元 6 380亿元 10 70亿美元 13 80亿美元 10 105亿美元 7 86亿美元 5 62亿美元 35亿元 2 675亿元 5 3.5 3.5 36亿美元 3.5 36亿美元 2 128亿元 2 30 2Xnm 0.18μm-90nm 0.18μm-90nm 90nm 90nm 90nm 90-65nm 40nm,28nm 14nm-7nm 6 30 10 协议 6 4 4 3 5 0.3 5 4 6 意向协议 进度 投产 扩产中 投产 投产 投产 建设中 投产 投产 投产 扩产中 投产 投产 投产 投产 投产 投产 建设中 投产 240亿美元 投产 投产 投产 359亿元 投产 投产 投产 25亿美元 建设中 投产 5亿美元 投产 5亿美元 扩产中 135亿元 投产 65亿元 建设中 370亿元 建设中 240亿美元 建设中 300亿美元 规划中 150亿美元 规划中 100亿元 建设中 建设中 50亿元 建设中 20亿元 建设中 100亿元 规划中 建设中 60亿元 建设中 建设中 150亿元 规划中 规划中 规划中 1280亿元 规划中 130亿元 建设中 意向中 资料来源:品利新视野、国海证券研究所整理 晶圆制造历来是我国半导体产业链上的薄弱环节,改善空间仍旧较大,国 内 Fabless 厂商的崛起将为晶圆制造带来海量国产化需求。根据中国半导体行 业协会统计, 2019 年中国集成电路产业销售额为 7562.3 亿元, 同比增长 15.78%。 其中, 设计业同比增长 21.60%,销售额为 3063.5 亿元;制造业同比增长 18.20%, 销售额为 2149.1 亿元;封装测试业同比增长 7.10%,销售额为 2349.7 亿元。 集成电路制造业占整个集成电路产业的比例不断提升。以 2018 年为例,2018 年全球半导体产业结构中,制造环节占比达到 58%,而封装环节占比最小仅为 16%,而国内半导体产业链结构较全球存在较大的差异,其中设计占比 38%, 制造环节占比 28%,封测环节占比 34%。这也和国内现在集成电路产业现状是 对应的,在技术壁垒较高和资本支出较大制造环节落后较多,在技术较为成熟的 请务必阅读正文后免责条款部分 18
19. 证券研究报告 封装环节发展较好。而制造环节差距较大,同时也是最具成长空间的环节。 图 29: 国内集成电路制造业的占比不断提升 图 30: 中国半导体制造环节比例明显低于全球 100% 90% 80% 70% 60% 50% 40% 30% 20% 10% 0% 100% 80% 60% 40% 26% 27% 28% 28% 24% 25% 24% 23% 20% 0% 2012 2013 2014 2015 2016 2017 2018 2019 设计 制造 封测 58% 28% 全球 中国 设计 资料来源:CSIA、国海证券研究所 制造 封测 资料来源:IC Insights、国海证券研究所 2019 年我国晶圆代工市场国产替代空间达到约 90 亿美元,国产替代空间 大。2019 年,我国两大半导体晶圆代工企业中芯国际和华虹半导体的营收分别 为 31.16/9.46 亿美元,其中来源于中国大陆的营收分别为 18.51/5.46 亿美元, 合计 23.97 亿美元(因影响较小暂时忽略不计其余国内纯晶圆代工厂) ,而根据 IC Insights 数据,2019 年全球纯晶圆代工厂营收中,来自中国大陆的营收为 113.57 亿 美 元 。 因 此 , 若 完 全 实 现 国 产 化 , 2019 年 国 产 替 代 空 间 为 113.57-23.97=89.6 亿美元。 2、 晶圆代工格局:一超多强,台积电一家独大 前五大晶圆制造厂产能合计占比接近 50%,其中,仅台积电为纯晶圆代工 厂。从晶圆制造产能来看,由于存储器在全球半导体市场中占比最高,而存储芯 片厂商多为 IDM 厂商,因此全球晶圆制造产能也主要集中在存储大厂,根据 IC Insights 数据,以 8 英寸晶圆计,全球晶圆产能为 1957 万片/月,三星以月产能 293.5 万片位列晶圆产能第一位,占全球产能 15%,台积电则以 250.5 万片居第 二,在前五大晶圆产能只有台积电是纯晶圆代工厂商。前五大晶圆产能占全球晶 圆总产能的 53%,相较于 10 年前的 36%大幅成长,美光、SK 海力士和铠侠均 为储存器厂商。 表 5:全球晶圆制造产能格局 2018(Kw/m) 2019(Kw/m) 2019 份额 三星 293.4 293.5 15.00% 台积电 243.9 250.5 12.80% 美光 168.5 184.1 9.40% SK 海力士 163.0 174.3 8.90% 铠侠 136.1 140.6 7.20% 其余 888.0 913.9 46.7% 合计 1893.0 1957.0 100% 资料来源:IC Insights、国海证券研究所 请务必阅读正文后免责条款部分 19
20. 证券研究报告 中国台湾是晶圆代工领域的霸主,随后为韩国、中国大陆。受到韩国三星 拆分晶圆代工事业部影响,台湾地区的区域占比从 2016 年的 67%快速下滑至 2018 年的 60%,但在 2019 年回升至 62%,中国大陆占比始终在 10%以下。 图 31:中国 台湾是晶圆代工的绝对主力 100% 80% 60% 40% 20% 0% 2016 2017 台湾 2018 韩国 大陆 2019 其余 资料来源:IC Insights、国海证券研究所 台积电是全球晶圆代工领域龙头,行业格局相对稳定。全球晶圆代工格局 近些年十分稳固,2020 年排名前十的格局和 2017 年相比没有明显变化,除了 三星从 2017 年的第四上升至了 2020 年的第二,市占率提升较大,主要原因为 三星集团将晶圆代工业务分拆独立出来后造成的影响,抛开这个三星拆分的影响, 全球晶圆代工市场稳如磐石。 图 32: 晶圆代工领域一超多强的格局十分稳定 100% 80% 60% 40% 20% 0% 2013 台积电 2014 三星 2015 格罗方德 联电 2016 中芯 高塔半导体 2017 华虹 2018 力晶 世界先进 2019 其他 资料来源:拓璞产业研究院、国海证券研究所 晶圆代工行业龙头企业分走行业大部分利润。从晶圆代工行业可比上市公 司经营情况来看,除龙头台积电外,其余业者的平均毛利率在 20%左右,而龙 头企业台积电毛利率稳定在 50%左右,大幅高于行业平均水平,加之台积电在 晶圆代工领域份额超过 50%,可以推测其在晶圆代工行业的净利润份额远大于 50%。 请务必阅读正文后免责条款部分 20
21. 证券研究报告 表 6:晶圆代工行业可比上市公司经营情况(亿元) 公司名 2019 年 2018 年 2017 年 总资产 收入 净利 毛利率 总资产 收入 净利 毛利率 总资产 收入 净利 毛利率 台积电 5,285 2,466 816 46% 4,686 2,233 786 48% 4,391 2,228 787 51% 中芯国际 1,148 220 13 21% 988 230 4 23% 779 214 9 25% 联华电子 855 342 11 14% 813 327 7 15% 862 340 15 18% 华虹 252 64 11 30% 211 62 12 33% 136 55 10 33% 高塔 135 85 6 19% 123 86 9 22% 109 94 20 26% 华润微 101 57 5 23% 100 63 5 25% 97 59 -1 18% 资料来源:公司招股说明书、国海证券研究所 2.1、 台积电:制程领先,全球晶圆代工领头羊 晶圆代工开创者,制程领先,当下全球晶圆代工领头羊。台湾积体电路制 造(台积电)成立于 1987 年,是全球最主要的晶圆代工半导体制造厂,总部与 主要工厂位于中国台湾省新竹市科学园区。台积电创立了半导体专用集成电路铸 造业务模式, 在 2019 年,台积电就以 272 种制程技术,为 499 家客户提供服务, 并生产超过 10,761 种产品; 2019 年,台积电及其子公司所拥有及管理的年产能 超过 1200 万片 12 寸晶圆约当量。台积电在台湾设有三座 12 寸超大晶圆厂、四 座 8 寸晶圆厂和一座 6 寸晶圆厂,并拥有一家海外子公司—台积电(南京)有 限公司的 12 寸晶圆厂及二家海外子公司—WaferTech 美国子公司、台积电(中 国)有限公司。 营业收入及净利润稳步增长。2019 年,得益于 5G SoC 带来的需求,台积 电营收规模逆势增长,2015-2019 年公司营业收入从 1665.91 亿元增长至 2490.93 亿元,CAGR 达 10.58%,远高于全球半导体市场同期增速,净利润方 面,2015-2019 年,公司净利润从 598.13 亿元增长至 823.99 亿元。 图 33:2015-2020 年台积电营业收入稳步增长 2,500 2,000 图 34:2015-2020 年台积电净利润稳步增长 30% 1,000 20% 20% 800 15% 600 10% 400 5% 200 0% 1,500 10% 1,000 0% 500 0 -10% 2015 2016 2017 营收(亿元) 资料来源:wind、国海证券研究所 2018 2019 同比(%) 0 -5% 2015 2016 2017 净利润(亿元) 2018 2019 同比(%) 资料来源:wind、国海证券研究所 消费电子、高性能计算是台积电下游主要应用领域,大部分营收来源于先 进制程。从台积电 2019 年 Q1-2020 年 Q1 的营收拆分来看,主要下游为智能手 机和高性能计算(HPC) ,主要制程为 20nm 以下的先进制程。目前,台积电 5nm 请务必阅读正文后免责条款部分 21
22. 证券研究报告 工艺已进入量产,且预计 2020 年 5nm 新工艺就将贡献营收超过 30 亿美元。 3nm 方面预计 2020 年建厂、2021 年试产,2022 年下半年量产。 图 35:智能手机、HPC 是台积电芯片主要下游 图 36:先进制程为台积电营收的主要来源 350 350 300 250 200 150 100 50 0 300 250 200 150 100 50 9 7 19Q1 19Q2 3 2 19Q4 20Q1 6 0 19Q1 智能手机 19Q2 HPC 19Q3 物联网 19Q4 汽车 资料来源:台积电、国海证券研究所 DCE 20Q1 其它 7nm 10nm 20nm 19Q3 28nm 40/45nm 65nm 大于65nm 资料来源:台积电、国海证券研究所 2.2、 三星电子:台积电在最先进制程的挑战者 在先进制程研发进度上,三星电子最接近台积电。三星电子是三星集团旗 下的子公司。三星电子的主要经营项目是七大事业群:半导体、行动通讯、数位 影像、电信系统、IT 解决方案及数字应用。曾为苹果代工 A 系列芯片的三星电 子,近几年在芯片工艺方面虽然不及台积电,获得的芯片代工订单也不及台积电, 但仍是在工艺上最接近台积电的厂商。台积电和三星电子的芯片工艺,目前都已 到了 5nm,台积电的 5nm 工艺是已经大规模量产,三星电子投资 81 亿美元的 新 5nm 芯片工艺生产线,也将于 2020 年投产。 三星电子 2019 年营收及净利润大幅下滑。由于 to B 端的半导体业务在 2019 年的萧条,三星电子业绩大幅滑坡,无论是手机芯片还是电脑硬盘,从 2018 年 下半年开始,价格就处于不断下滑的状态,而这块业务占据了三星电子的大半江 山,而 2018 年之前几年三星电子之所以利润屡创新高,就是因为存储芯片价格 不断上升。三星电子对于业绩下滑的解释也都是芯片需求放缓以及价格下跌,三 星电子 2019 年营业利润下降,主要是由于全球存储芯片价格的滑坡,因为内存 芯片业务贡献了三星利润总额的 2/3。在 2019 年二季度,半导体业务对三星电 子的利润贡献勉强超过 50%,而 2018 年则达到 3/4。 请务必阅读正文后免责条款部分 22
23. 证券研究报告 图 37:2018Q3-2020Q1 三星电子营业收入与增速 80 10% 60 5% 0% 图 38:20 18Q3-2020Q1 三星电子净利润与增速 15 30% 10% 10 -10% 40 -5% 20 -30% 5 -50% -10% 0 -15% 18Q3 18Q4 19Q1 19Q2 19Q3 19Q4 20Q1 营收(万亿韩元) 0 -70% 18Q3 18Q4 19Q1 19Q2 19Q3 19Q4 20Q1 净利润(万亿韩元) 同比(%) 资料来源:前瞻眼、国海证券研究所 同比(%) 资料来源:前瞻眼、国海证券研究所 2.3、 格芯:向特色化、差异化工艺迈进 脱胎于 AMD 的晶圆代工巨头。格罗方德 (Global Foundries) 成立于 2009 年 3 月,是一家总部位于美国的半导体晶圆代工厂商,目前为世界第二大纯晶圆 代工厂,仅次于台积电(TSMC) 。格罗方德由 AMD 拆分而来,是 AMD 与阿联 酋阿布扎比先进技术投资公司(ATIC)和穆巴达拉发展公司(Mubadala)联合投资 成立的半导体制造企业。格罗方德一共拥有 5 家 200mm 晶圆厂和 5 家 300mm 晶圆厂,在全球拥有超过 250 家客户,员工总数约 1.6 万人。 2018 年退出先进制程追逐,专注特色工艺,营收稳步增长,市场份额稳定。 2018 年 8 月,格罗方德宣布,将无限期搁置 7 纳米投资计划并调整相对应研发 团队来强化产品组合,以便将资源转移到更加专业的 14nm 和 12nm FinFET 节点的持续开发上。格罗方德表示停止追逐先进制程的原因为经济方面的考虑, 而非 7nm 制程有任何技术障碍。2015-2019 年,格罗方德营业收入从 50.19 亿 美元稳步增长至 58.24 亿美元,CAGR3.79%,得益于其在特殊工艺领域的特长 以及全球布局的产线,格罗方德过去数年在全球晶圆代工领域份额一直稳定在 11%左右,稳居全球纯晶圆代工厂第二的位置。 图 39:2015-2019 年格罗方德营业收入与增速 70 图 40:格罗方德晶圆产线布局三大洲 15% 60 10% 50 40 5% 30 0% 20 -5% 10 0 -10% 2015 2016 2017 2018 营业收入(亿美元) 资料来源:集邦咨询、国海证券研究所 请务必阅读正文后免责条款部分 2019 同比 资料来源:格罗方德、国海证券研究所 23
24. 证券研究报告 2.4、 联电:以退为进、精益求精 退出先进制程追逐,转向深耕特色工艺的另一家巨头。联华电子股份有限 公司(联电) ,创立于 1980 年,是台湾第一家集成电路公司,为台湾第一家半 导体公司,引领了台湾半导体业的发展,为台湾第一家提供晶圆专业代工服务的 公司联电持续推出先进制程技术并且拥有半导体业界为数最多的专利。联电的客 户导向解决方案能让芯片设计公司利用本公司尖端制程技术的优势,包括通过生 产验证的 65 纳米制程技术、45/40 纳米制程技术、混合信号/RFCMOS 技术, 以及其它多样的特殊制程技术。联电在全球约有 12,000 名员工,在台湾、日本、 新加坡、欧洲及美国均设有服务据点,以满足全球客户的需求。 图 41: 联电制程与工艺发展棋盘图 资料来源:联电、国海证券研究所 产能水平:联电拥有两座领先制程且营运中的 12 英寸晶圆制造厂,自 2002 年以来,联电位于台南的 12 英寸厂(Fab 12A)已运用业界最先进的 0.13 微米及 90 纳米制程为客户生产产品。联电 12A 产能目前达 30,000 片/月。联电另一个 12 英寸晶圆厂—UMCi,则位于新加坡白沙芯片园区;这两个 12 英寸厂特色在 于大量增进产能的先进自动化设备。(UMCi) 现今已进入量产,目前产能同样达 30,000 片/月的水平。 2015-2019 年联电收入较为稳定,退出先进制程追逐后盈利能力逐渐回暖。 2015-2019 年,联华电子从 1448.3 亿新台币增长至 1482.02 亿亿新台币,收入 整体十分稳定,2015-2018 年,联电净利润呈下降趋势,自 2018 年退出先进制 程追逐后,公司集中资源强化在特色工艺领域的竞争力,盈利能力逐渐回暖, 2019 年净利润达 97.08 亿新台币,同比增长 37%。 请务必阅读正文后免责条款部分 24
25. 证券研究报告 图 42:2015-2020Q1 联华电子营业收入与增速 图 43:2015-2020Q1 联华电子净利润与增速 2,000 10% 1,500 5% 1,000 0% 500 -5% 0 -10% 2015 2016 2017 2018 2019 营业总收入(亿新台币) 资料来源:wind、国海证券研究所 同比 140 120 100 80 60 40 20 0 50% 30% 10% -10% -30% -50% 2015 2016 2017 2018 净利润(亿新台币) 2019 同比 资料来源:wind、国海证券研究所 3、 中芯国际:中国晶圆制造龙头,半导体国产替代 先锋 3.1、 国内晶圆代工龙头,业绩规模稳步增长 中芯国际是全球第五大、中国大陆最领先的集成电路晶圆代工企业。公司 于 2000 年 4 月成立与中国上海,自成立以来,公司一直专注于晶圆代工业务。 公司是中国大陆晶圆代工龙头,作为中国大陆规模最具优势、技术最先进、配套 服务最完善的专业晶圆代工企业,主要为客户提供 0.35um 至 14nm 多种技术节 点、不同工艺平台的集成电路晶圆代工及配套服务。 图 44:中芯国际发展历程 资料来源:中芯国际官网、国海证券研究所 公司为客户提供一站式晶圆代工解决方案。公司除了提供高端晶圆制造服 务,还提供包括光罩制造、IP 研发及后段辅助设计服务等一站式服务(包含凸块 加工服务、晶圆探测,以及最终的封装、测试等),目标是更有效的帮助客户降 低成本,以缩短产品上市时间。 请务必阅读正文后免责条款部分 25
26. 证券研究报告 图 45:公司提供晶圆制造一站式服务 资料来源:中芯国际官网、国海证券研究所 公司在逻辑工艺和特色工艺上具有完整技术布局。公司成功开发了 0.35um 至 14nm 多种技术节点,应用于不同工艺平台,具备逻辑电路、电源/模拟、高 压驱动、嵌入式非挥发性存储、非易失性存储、混合信号/射频、图像传感器等 多个工艺平台的量产能力,可为客户提供通讯产品、消费品、汽车、工业、计算 机等不同领域的集成电路晶圆代及配套服务。 图 46:公司制程及工艺完善,代工产品线丰富 资料来源:中芯国际官网、国海证券研究所 公司营收规模稳步增长,归母净利润受产线折旧影响波动较大。公司 2019 年实现营业收入为 31.2 亿美元, 2018 年为 33.6 亿美元,主要原因是 2018 年 包括了意大利 LFoundry 的收入,2019 年出售 Lfoundry 后,去除一次性许可证 收入和 LFoundry 的影响,2019 年的收入与 2018 年相比还略有增加。2019 年 请务必阅读正文后免责条款部分 26
27. 证券研究报告 归母净利润为 2.35 亿美元,2018 年为 1.34 亿美元,归母净利润的波动原因主 要为产线折旧的影响。 EBITDA 在 2019 年达到了创纪录的 13.7 亿美元,而 2018 年为 11.6 亿美元。 图 47:公司营收规模持续扩大 图 48:公司归母净利润波动较大 40 35 30 25 20 15 10 5 0 40% 30% 20% 10% 0% -10% 2014 2015 2016 2017 总营业收入(亿美元) 2018 2019 YOY 资料来源:Wind、国海证券研究所 4 4 3 3 2 2 1 1 0 100% 80% 60% 40% 20% 0% -20% -40% -60% 2014 2015 2016 2017 归母净利润(亿美元) 2018 2019 YOY 资料来源:Wind、国海证券研究所 毛利率处于行业平均水平。台积电作为全球技术水平和工艺节点最先进的龙 头集成电路晶圆代工厂商,在晶圆代工市场占据绝对主导地位,拥有先发优势及 规模效应,毛利率显著高于其他公司,2019 年剔除台积电计算的行业平均毛利 率为 21.53%,公司 2019 年毛利率为 20.83%,与行业平均处于同一水平。 表 7:公司综合毛利率与可比上市公司的对比情况 可比上市公司 2019 年 2018 年 2017 年 台积电 46.05% 48.28% 50.62% 联华电子 14.38% 15.10% 18.12% 华虹半导体 30.29% 33.45% 33.06% 高塔半导体 18.61% 22.46% 25.54% 华润微 22.84% 25.20% 17.62% 平均 26.43% 28.90% 28.99% 平均(剔除台积电) 21.53% 24.50% 23.59% 中芯国际 20.83% 23.02% 24.76% 资料来源:公司招股说明书、国海证券研究所 成熟制程是公司主要收入来源,14nm 先进制程已经开始贡献收入。根据最 新 2020 年第一季度财报,0.15/0.18um、55/65nm 和 40/45nm 分别贡献了公司 33.4%、32.6%和 14.9%的营收,合计占到 20Q1 营收规模的 80.9%,成熟制程 是中芯国际营收主要来源,28nm 制程贡献了营收的 6.5%,占比小幅提升,公 司 14nm 制程已于 19Q4 实现销售收入,公司从 2015 年开始研发 14nm,目前 良品率已经达到 95%,2019 年底的时候 14nm 产能达到 3K 晶圆/月。自 19Q2 开始进入客户导入环节后,在 2019Q4 财报里,14nm 第一次实现了营收 768.9 万美元,占比达 1%,主要是低端处理器、以及消费类芯片的一些订单,未来将 面向高端消费类,高性能计算,人工智能和汽车电子等产品,能满足国内 90% 的芯片制造需求,并在 2020 年及 2021 将有大量的扩产计划。 请务必阅读正文后免责条款部分 27
28. 证券研究报告 图 50: 成熟制程贡献了公司晶圆代工业务主要营收 图 49:晶圆代工业务是公司主要营收来源 100% 90% 80% 70% 60% 50% 40% 30% 20% 10% 0% 100% 80% 60% 95.94% 40% 89.30% 93.12% 20% 0% 2017 晶圆代工 光掩模制造 2018 2019 凸块加工及测试 资料来源:招股说明书、国海证券研究所 其他 14 nm 28 nm 40/45 nm 55/65 nm 90 nm 0.11/0.13 um 0.15/0.18 um 0.25/0.35 um 资料来源:招股说明书、国海证券研究所 按收入地区来看,中国大陆营收占比不断提高,2020Q1 已达 60%以上。 按下游应用来看, 通讯和消费电子是公司最主要代工产品应用领域。公司自 2018 年开始,中国大陆营收占比显著提高,2019 年受国际形势影响,部分国内大型 芯片设计公司转单国内,而中芯国际作为国内晶圆代工龙头承接了大量订单, 2019 年第四季度中国大陆的营收达占比达 65.1%,较 2019 年第一季度 53.9% 的占比大幅提高。 2020Q1 公司在中国大陆营收占比亦超过 60%,达到 61.6%。 分产品类别来看, 2019 年通讯类产品比重进一步提高,通讯类占营收比例在 46%, 较 2018 年的 41%上升 5pcts。消费电子较 2018 年的 34%没有变化。计算机、 汽车/工业、 其他占比较小, 2020Q1 通讯和消费电子合计占到公司营收的 84.3%。 图 51:公司在 中国大陆营收占比不断提升 图 52:通讯和消费电子是公司产品主要应用领域 100% 100% 80% 80% 60% 60% 40% 40% 20% 20% 0% 0% 中国内地及香港 北美洲 资料来源:公司公告、国海证券研究所 欧亚区 电脑 通讯 消费 汽车/工业 其他 资料来源:公司公告、国海证券研究所 公司预计 2020 年资本支出破纪录达 31 亿美元。2015-2019 年,公司资本 开支分别为 12.69/28.43/23.31/18.32/18.82 亿美元,根据公司公告,2020 年资 本开支将破纪录地达到 31 亿美元,将主要用于建造中芯南方 12 英寸晶圆厂的 设备和设施,其中 20 亿美元将用于先进制程晶圆厂设施和设备,其余部分用于 现有产能的扩张支出,同时 ASML 光刻机购买情况未明,也给公司未来资本开 支添加未知数。 请务必阅读正文后免责条款部分 28
29. 证券研究报告 图 54: 2020 年资本开支主要用于先进制程(亿美元) 图 53:2020 年公司资本开支将达到 31 亿美元 35 31.00 28.43 30 20 16 23.31 25 10.4 12 18.32 20 15 20 18.82 8 12.69 4 10 0.6 0 5 0 2015 2016 2017 2018 2019 2020E 资本开支(亿美元) 资料来源:Wind、国海证券研究所 资料来源:公司公告、国海证券研究所 3.2、 公司核心管理团队强大,研发实力出众 公司通过多年集成电路研发实践,组建了高素质的核心管理团队和专业化 的核心研发团队。研发团队是公司保持及进一步提升技术实力的坚实基础,公司 研发团队核心成员由境内外资深专家组成,拥有在行业内多年的研发管理经验。 公司联合首席执行官梁孟松曾任台积电研发处处长,是中芯国际从 28nm 跳跃至 14nm 的关键人物,技术研发执行副总裁周梅生、独立非执行董事杨光磊均曾是 台积电核心技术人物。公司核心技术实力强大,有望实现快速崛起。 表 8:公司管理团队强大 姓名 职位 简介 男,1956 年 7 月出生,中国国籍,无境外永久居留权,博士学位。1980 年至 2008 年曾先后任职 于国营东光电工厂、电子工业部、机械电子工业部、电子工业部、信息产业部。2009 年至 2015 周子学 董事长 年担任工业和信息化部财务司司长、总经济师。2015 年至今担任中芯国际董事长兼执行董事。周 子学先生同时担任中国电子信息行业联合会副主席兼秘书长、中国半导体行业协会理事长、长电科 技(600584.SH)董事长、云南南天电子信息产业股份有限公司(000948.SZ)独立董事、海信视 像科技股份有限公司(600060.SH)独立董事。 男,1963 年 10 月出生,新加坡国籍,博士学位,拥有 20 多年半导体运营及技术研发经验。2010 赵海军 联合首席执行官 年至 2016 年期间,历任中芯国际首席运营官兼执行副总裁、中芯北方总经理。2017 年 10 月至今 担任中芯国际联合首席执行官兼执行董事。ZHAO HAIJUN(赵海军)先生同时担任浙江巨化股份 有限公司(600160.SH)董事。 梁孟松,男,1952 年 7 月出生,中国台湾籍,博士学位,拥有逾 450 项专利,曾发表技术论文 350 梁孟松 联合首席执行官 余篇。曾任台湾积体电路制造股份有限公司资深研发处长,2017 年 10 月至今担任中芯国际联合首 席执行官兼执行董事。梁孟松先生同时担任电机和电子工程师学会院士(IEEE Fellow)。 高永岗,男,1965 年 3 月出生,中国国籍,无境外永久居留权,博士学位。曾任电信科学技术研 高永岗 执行副总裁;首 究院总会计师、大唐电信集团财务有限公司董事长。2009 年至今,历任中芯国际非执行董事、战 席财务官 略规划执行副总裁、执行董事、首席财务官、联席公司秘书。高永岗先生同时担任中国会计学会常 务理事、中国企业财务管理协会常务理事、香港独立董事协会创始会员、理事。 周梅生 技术研发执行副 女,1958 年 1 月出生,新加坡国籍,博士学位。曾任泛林半导体设备技术公司中国区首席技术官, 总裁 并曾于特许半导体制造有限公司、台湾积体电路制造股份有限公司、联华电子股份有限公司及格罗 请务必阅读正文后免责条款部分 29
30. 证券研究报告 方德半导体股份有限公司担任管理职务。2017 年至今担任中芯国际技术研发执行副总裁。 男,1959 年 8 月出生,美国国籍,博士学位。1986 年至 2018 年历任美国麻省理工学院林肯国家 实验中心研究员、美国惠普公司高级技术员、新加坡特许半导体制造有限公司高级经理、台湾华邦 杨光磊 独立非执行董事 电子 股份有限公司研发副处长、世大积体电路制造公司工程处长、台湾积体电路制造股份有限公 司研发处长,2019 年至今担任中芯国际独立非执行董事。KWANG-LEEI YOUNG(杨光磊)先生 同时担任一一数位股份有限公司非执行联合创始人和董事、鼎恒数位科技股份有限公司独立董事。 男,1965 年 2 月出生,新加坡国籍,硕士学位。1990 年至 2001 年先后担任中国计量科学研究院 运营与工程资深 张昕 副总裁 工程师、香港城市大学电子工程系助教、特许半导体制造有限公司资深工程师,2001 年至 2010 年曾于台湾积体电路制造股份有限公司美国代工厂、格罗方德半导体股份有限公司担任管理职务, 2010 年至今先后担任中芯国际先进制造技术资深总监、运营与工程资深副总裁。 男,1967 年 3 月出生,中国国籍,无境外永久居留权,博士学位。1995 年至 2001 年就职于日本 吴金刚 技术研发副总裁 通产省工业技术研究院,2001 年至 2014 年,历任中芯国际助理总监、总监、资深总监,2014 年 至今担任中芯国际技术研发副总裁。 资料来源:公司招股说明书、国海证券研究所 重视研发投入,研发支出持续提升。截至 2019 年 12 月 31 日,公司共 有员工 15,795 人,其中研发人员 2,530 人,占比达到 16.02%。公司研发支 出保持较高占比,2014 年以来公司的营收从 18 亿美元增长到 33 亿美元,同期 研发支出增速大于营收增速,从 1.9 亿美元到 5.6 亿美元,占营收比重从 9%提 高到 16.5%。 图 55:2019 年公司研发人员占比达 16.02% 图 56: 公司研发支出及占营收比持续提升 5.58 6 综合 管理, 11.82% 5 4.27 4 技术, 16.02% 2 15% 1.9 10% 2.37 5% 1 生产, 70.94% 0% 0 2014 2015 2016 2017 研发支出(亿美元) 资料来源:Wind、国海证券研究所 20% 3.18 3 销售, 1.22% 5.56 2018 2019 研发支出占比 资料来源:wind、国海证券研究所 在技术节点上全力冲刺 14nm 研发。公司 14nm 处于加速研发突破状态, 研发费用有望进一步提高,主要用以 14nm 及以下制程研发,2019 年,公司研 发费用的 70%用在了 14nm 工艺节点的研发上。 专利储备丰富是公司持续竞争力的保障。 知识产权是公司在集成电路行业内 保持自身竞争力的关键。截至 2019 年底,登记在公司及其控股子公司名下的与 生产经营相关的主要专利共 8122 件,其中境内专利 6527 件,包括发明专利 5,965 件;境外专利 1,595 件,此外公司还拥有集成电路布图设计 94 件。 请务必阅读正文后免责条款部分 30
31. 证券研究报告 图 57: 14nm 是公司当前研发费用主要去向 100% 图 58: 公司专利储备丰富 6527 7,000 80% 6,000 5,000 60% 4,000 40% 3,000 20% 2,000 1595 1,000 0% 2017年 14纳米 55/65纳米 0.15/0.18微米 2018年 28纳米 90纳米 0.25微米 2019年 40/45纳米 0.11/0.13微米 0.35微米 资料来源:公司招股说明书、国海证券研究所 0 境内专利 境外专利 数量(件) 资料来源:公司招股说明书、国海证券研究所 3.3、 14nm 制程量产,持续研发更先进制程工艺 公司在逻辑电路制造领域是中国大陆技术最先进、覆盖技术节点最广的晶 圆代工企业。在逻辑电路制造领域,公司成功开发了 0.35/0.25um、 0.18/0.15um、 0.13/0.11um、90nm、65/55nm、45/40nm、28nm 及 14nm 等多种技术节点。 在先进逻辑工艺领域,公司是中国大陆第一家提供国际领先的 14nm 技术节点的 晶圆代工企业。在先进制程节点,目前,公司第一代 14nmFinFET 技术已进入 量产阶段,第二代 FinFET 技术已进入客户导入阶段。利用公司先进 FinFET 技 术在晶圆上所制成的芯片已被广泛地应用于智能手机、平板电脑、机顶盒等领域。 在成熟逻辑工艺领域,公司是中国大陆第一家提供 0.18/0.15um、 0.13/0.11um、 90nm、65/55nm、45/40nm 和 28nm 技术节点的晶圆代工企业。目前,公司的 28nm 工艺是业界主流技术,包含传统的多晶硅和后栅极的高介电常数金属栅极 制程;公司的 45/40nm、 65/55nm 和 90nm 工艺实现了高性能和低功耗的融合; 公司的 0.13/0.11um 和 0.18/0.15um 工艺分别实现了全铜制程和铝制程,在满足 高性能的同时有效控制成本。公司利用成熟逻辑工艺技术平台所制成的芯片产品 已被广泛地应用于处理器、移动基带、无线互联芯片、数字电视、机顶盒、智能 卡、消费性产品等诸多领域。 表 9:公司逻辑工艺技术平台先进度高 技术节点 表征及特点 ①应用 FinFET 新型器件,高性能/低功耗,支持超低工作电压; ②应用多重曝光图形技术,集成度超过 3x10^9 个晶体管/平方厘米; 14nm ③应用高介电常数金属栅极技术,提供三种不同阈值电压的核心器件; ④低介电常数质的铜互连技术,支持最多低介电常数质的铜互连技术, 支持最多 13 层金属互联。 28nm 应用领域 先进 程度 高性能低功耗计算及消费电子 产品领域,例如智能手机、平 国际 板电脑、机顶盒、AI、射频、车 领先 载和物联网等领域。 ①具备高介电常数金属栅极、锗硅应力提升技术和超低电介质材料铜互 高性能应用处理器、移动基带 联工艺; 及无线互联芯片领域,例如智 国际 ②运用了 193nm 浸润式两次微影技术和形成超浅结的毫秒级退火工艺; 能手机、平板电脑、电视、机 领先 ③核心组件电压 0.9V,具有三种不同阈值电压。 请务必阅读正文后免责条款部分 顶盒和互联网等领域。 31
32. 证券研究报告 手机基带及应用处理器、平板 ①核心组件电压 1.1V,涵盖三种不同阈值电压; 45/40nm ②运用了先进的浸润式光刻技术,应力技术,超浅结技术以及低介电常 数质等技术。 65/55nm 电脑多媒体应用处理器、数字 国际 电视、机顶盒、游戏及其他无 领先 线互联应用等领域。 高性能、低功耗的应用领域, ①基于完备的设计规则、规格及 SPICE 模型; ②核心元件电压:1.2V,输入/输出电压:1.8V,2.5V 和 3.3V。 国际 如移动应用领域和无线等领 领先 域。 低能耗,卓越性及高集成度领 90nm ①低介电常数介质的铜互连技术; 域,如无线电话、数字电视、 ②支持客户定制,达到各种设计要求,包括高速,低耗,混合信号,射 机顶盒、移动电视、个人多媒 频以及嵌入式和系统集成等方案。 体产品、无线网络接入及个人 国内 领先 计算机应用芯片等。 0.13/0.11um 0.18/0.15um 0.35/0.25um ①采用全铜制程技术; 低成本领域,如闪存控制器、 ②使用 8 层金属宽度仅为层金属宽度仅为 80nm 的门电路,核心元件电 媒体播放器和其他各种应用产 压:1.2V,输入/输出电压:2.5V 和 3.3V。 品等领域。 ①采用铝制程技术,特点是每平方毫米的多晶硅门电路集成度高达 低成本领域,如智能卡、移动/ 100000 门; 消费应用和汽车工业应用产品 ②有 1.8V、3.3V 和 5V 三种不同电压。 等领域。 ①采用铝制程技术; 智能卡、消费性产品以及其它 国内 ②有 2.5V、3.3V 和 5V 三种不同电压。 多个领域。 领先 国际 领先 国际 领先 资料来源:公司招股说明书、国海证券研究所 公司开发的多种特色工艺平台均已达到行业先进水平。除逻辑电路制造外, 中芯国际成功开发了电源/模拟、高压驱动、嵌入式非挥发性存储、非易失性存 储、混合信号/射频、图像传感器等多种特色工艺平台。其中,电源/模拟技术基 于现有的低功耗逻辑工艺平台可提供模块架构,为模拟和电源应用提供优越的性 能;高压驱动技术平台涵盖 0.15um、55nm、40nm 等技术节点,提供了中压和 高压器件,优化高压显示驱动芯片 SRAM 单元;嵌入式非挥发性存储技术平台 涵盖 0.35um 至 40nm 技术节点,具有低功耗、耐久性突出的特点;非易失性存 储技术平台涵盖 24nm、38nm 以及 65nm 至 0.18um 技术节点。公司利用特色 工艺技术平台所制成的芯片产品已被广泛地应用于电源管理、汽车和工业、通信 和消费电子等诸多领域。 表 10:公司特色工艺技术平台达到国际领先水平 技术名称 电源/模拟技 术平台 高压驱动技 术平台 嵌入式非挥 发性存储技 术平台 非易失性存 技术节点、表征及特点 应用领域 先进程度 智能手机、平板电脑及消费子产品领域,如电池 涵盖 0.35um、0.18um 和 0.15um 等技术节点。 管理、DC-DC、AC-DC、PMIC、快速充电器、 国际领先 电机控制器以及汽车和工业应用领域。 ①涵盖 0.15um、55nm、40nm 等技术节点; ②提供了中压和高压器件,优化高压显示驱动芯片 SRAM 单元。 ①涵盖 0.35um 到 40nm 技术节点; ②低功耗、耐久性突出。 ①涵盖 24nm、38nm 以及 65nm 到 0.18um 技术节 请务必阅读正文后免责条款部分 计算机和消费类电子产品以及无线通讯 LCD/AMOLED 显示面板驱动等领域。 国际领先 智能卡、微处理器和物联网应用等领域。 国内领先 通信与数据处理、消费电子和工业电子领域,如 国内领先 32
33. 证券研究报告 储技术平台 混合信号/射 频技术平台 点; 记忆卡和 USB 棒、手机、移动设备、MP3、可 ②具备低成本,低功耗,高可靠性和高耐久性等特 穿戴设备、玩具和游戏、数字电视、监控、智能 点。 仪表、自动化和机器人等领域。 ①具备深阱 NFET 噪声隔离、低成本金属电容、无 额外光掩模等技术特点; 消费电子、通信、计算机以及物联网等市场领域。 国内领先 ②多阈值电压器件、高密度后段金属电容。 ①前照式工艺的后段介质层减薄以提高响应度,平 图像传感器 台暗电流优化; 智能手机、数码相机、监控/安防/医疗成像等领 技术平台 ②堆叠式中针对传感器晶圆的特殊制程优化,降低 域。 国内领先 暗电流。 资料来源:公司招股说明书、国海证券研究所 公司继续追赶最先进制程。格罗方德于 2018 年 8 月宣布将无限期地暂停 7nmLP 工艺的开发,以便将资源转移到更加专业的 14nm 和 12nmFinFET 节点 的持续开发上。台联电也于 2018 年 8 月宣布了放弃 12nm 以下先进制程投资, 而是专注于成熟工艺的改良并提升投资回报率。公司暂时没有 10nm 以下的制程, 但是基于 14nm 积极开发 N+1(对应 10nm)代产品,N+1 相较于 14nm 性能提 高了 20%,功耗降低了 57%,逻辑面积降低了 63%,SoC 面积降低了 55%。 N+2(对应 7nm)工艺将注重于成本的控制。 表 11:14nm 及以下工艺特点 14nm→N+1 性能 功耗 SoC 面积 逻辑面积 ~20% ~57% -55% -63% N+1→N+2 Lowercost 资料来源:公司公告、国海证券研究所 公司与行业龙头台积电在制程上的差距越来越小,公司有望成长为全球纯 晶圆代工厂商第二。与主要竞争对手相比,公司与台积电在 28nm 上差了 4 年, 在 14nm 上差了 4 年,公司与格罗方德在 28nm 上差了 3 年,在 14nm 上差了 4 年,公司与台联电在 28nm 上差了 2 年,在 14nm 上差了 2 年。公司与台联电 的技术差距最小。随着台联电与格罗方德止步于 14nm,长期来看,公司有望超 越台联电与格罗方德,跃居纯晶圆代工厂商第二。 表 12:公司与主要竞争对手在关键技术节点的量产时间对比 公司名称 2011 年 台积电 28nm 格罗方德 32nm 2012 年 28nm 联电 中芯国际 2013 年 2014 年 2015 年 2016 年 20nm 16nm 10nm 2017 年 40nm 2019 年 7nm 14nm 28nm 2018 年 12nm 14nm 28nm 14nm 资料来源:公司招股书、国海证券研究所 我们认为,公司在成熟制程领域不断深耕特色工艺,将受益 IOT 时代传感 器、模拟电路需求的扩张;先进制程领域, 28nm 制程营收占比已开始逐步回升, 而 14nm 以下市场玩家屈指可数,竞争格局更好,随着 5G 时代对高性能运算需 求的提升,市场空间不断扩大,公司 14nm 业务占比有望快速提升。2017-2019 年公司先进制程的 28nm 收入金额呈下降趋势,主要原因为 28nm 全球纯晶圆代 请务必阅读正文后免责条款部分 33
34. 证券研究报告 工厂商的产能布局较多,造成 2018 和 2019 年度全球 28nm 市场产能过剩。目 前台积电为 28nm 主要代工厂,工艺优势更明显,公司 28nm 的扩张之路并不顺 利,在 2018 年第二季度达到营收 8.6%的高点后,此后一度出现衰退,至 2019 年第一季度达到最低点,贡献营收 3.0%,此后缓慢回升,至 2020 年第一季度, 贡献营收 6.5%。公司出于市场经营策略和客户需求考虑,在满足订单需求的前 提下,优化产品组合,将部分原用于 28 纳米制程的通用设备转用于生产盈利较 高的其他制程产品,使得 28 纳米制程产品的收入呈现下降趋势。 图 59:公司 28 nm 营收占比开始回升 图 60:公司 14nm 产能规划(KW/M) 12% 16 10% 14 8% 12 6% 10 4% 8 2% 6 0% 4 15 9 3 4 2 0 28 nm营收占比 2019年12月 2020年3月 2020年7月 2020年12月 资料来源:公司公告、国海证券研究所 资料来源:公司公告、国海证券研究所 公司产能规模不断扩张,目前运营 7 条生产线,其中 4 条为 12 英寸产线, 3 条为 8 英寸产线。公司 12 英寸晶圆厂主要面向逻辑电路、混合电路和射频器 件等制程要求较高的产品。而 8 英寸主要面向一些特殊工艺,产品线包括电源管 理、指纹识别和图像传感器等。其中位于上海的中芯南方两座晶圆厂主要面向最 先进制程 14/12/7nm,承担中芯国际制程突破的任务。其中,中芯南方成立于 2016 年 12 月,系先进技术及制程产线的运营主体,提供 14nmFinFET 及以下 的技术工艺。根据公司公告,到 2020 年底 14nm 产能将达到 1.5 万片/月。 表 13:公司主要产线情况 产线 目前主要技术节点 规划产能(KW/M) 定位 达到可使用状态时间 成新率 中芯上海 12 英寸产线 14nm 及以下 20 先进工艺研发平台 2007 年 19.05% 中芯上海 8 英寸产线 0.35um-90nm 120 成熟工艺平台 2002 年 19.05% 中芯北京 12 英寸产线 0.18um-55nm 50 成熟工艺平台 2004 年 14.45% 中芯天津 8 英寸产线 0.35um-90nm 50 成熟工艺平台 2004 年 27.72% 中芯深圳 8 英寸产线 0.35um-0.15um 60 成熟工艺平台 2014 年 63.52% 中芯北方 12 英寸产线 65nm-24nm 35 成熟工艺平台 2016 年 70.79% 中芯南方 12 英寸产线 14nm 及以下 35 先进工艺平台 - - 资料来源:公司招股说明书、公司官网、国海证券研究所 公司产能及利用率稳步爬升,目前已接近饱和,产能扩张需求旺盛。现有 产能 8 英寸晶圆 257K/M 和 12 英寸晶圆 93K/M 的产能,折合 46.7 万片 8 英寸 晶圆每月,8 英寸产能和 12 英寸产能基本五五开,位列大陆地区晶圆代工厂产 能榜首。公司自 18Q2 以来,受益于下游需求的景气度及国产厂商的转单效应, 产能利用率处于高位,公司 20Q1 的产能利用率高达 98.5%,几乎处于满载状态。 请务必阅读正文后免责条款部分 34
35. 证券研究报告 图 61:公司各个晶圆厂月产能(约当 8 英寸,片)及产能利用率情况 500000 450000 400000 350000 300000 250000 200000 150000 100000 50000 0 100% 98% 96% 94% 92% 90% 88% 86% 84% 82% 80% 1Q17 2Q17 3Q17 4Q17 1Q18 2Q18 3Q18 4Q18 1Q19 2Q19 3Q19 4Q19 1Q20 上海200 mm 上海300 mm 北京300 mm 天津200 mm 深圳200 mm 深圳300 mm 中芯北方300 mm 意大利200 mm 中芯南方300 mm 产能利用率 资料来源:公司公告、国海证券研究所 3.4、 下游客户资源丰富,华为、高通、博通均为客户 公司下游客户优质,前五大客户集中度呈下降趋势。公司深耕晶圆代工领 域多年,客户资源优质,国内大客户有华为海思、兆易创新、紫光展锐、北京豪 威等存储、IC 设计大厂,海外大客户有高通、博通为首的龙头企业。2017-2019 年,公司向前五名客户合计销售额分别为 1079016.69 万元、1040714.70 万元 和 951422.90 万元;占当期销售总额的比例分别为 50.45%、 45.22%及 43.21%, 占比逐年降低。其中, 2017 年度前三名客户销售额占比分别为 20.14%、 17.02% 及 6.54%,2018 年度前两名客户销售额占比分别为 16.97%及 15.38%,2019 年度前两名客户销售额占比分别为 18.48%及 12.44%。发行人不存在向单个客 户销售比例超过发行人当年销售总额 50%或严重依赖少数客户的情况。 表 14:公司主要客户销售额占比情况 年份 前五大客户销售额 销售额占比 2019 年 951422.90 万元 43.21% 2018 年 1040714.70 万元 45.22% 2017 年 1079016.69 万元 50.45% 资料来源:公司招股说明书、国海证券研究所 我国 Fabless 企业迅速崛起,公司将充分受益。受益于国内终端市场的多 样化需求以及设计轻资产的模式,大量设计企业涌入这一领域,使得国内的芯片 设计业增速远高于制造。据统计,我国目前有 1380 多家芯片设计企业,预计到 2020 年,我国的芯片设计业市场规模将会达到 3900 亿元,位居世界第二。目 前华为海思、紫光展锐、中国华大等 Fabless 厂正在快速崛起,2017 年我国位 列全球 TOP50 的 Fabless 已由 2009 年的 1 家增到 10 家,预计未来我国芯片设 计仍将以高于 20%增速增长,为我国晶圆代工行业发展带来重大机遇,公司作 为中国大陆规模最具优势、技术最先进的集成电路晶圆代工企业,依靠卓越的研 发制造能力、完善的配套服务能力、深耕市场的实践经验,形成明显的品牌效应, 请务必阅读正文后免责条款部分 35
36. 证券研究报告 获得了良好的行业认知度,拥有了境内外广泛的客户积累优势,树立了境内外领 先的行业地位,将在中长期内享受本土 Fabless 客户快速增长带来的红利。 图 62: 中国 Fabless 厂商迅速崛起 资料来源:IC Insights、国海证券研究所 3.5、 科创板上市募投扩产先进产线,未来成长可期 公司回归科创板上市募投金额达 200 亿元,将主要用于研发和扩产。公司 本次募投项目主要包括: “12 英寸芯片 SN1 项目”与“先进及成熟工艺研发项 目储备资金” 。其中, “12 英寸芯片 SN1 项目”是中国大陆第一条 14nm 及以下 先进工艺生产线,本次募集资金将用于工艺技术水平为 14nm 及以下工艺的产线 建设;同时,14nm 及以下先进工艺技术研发是公司“先进及成熟工艺研发项目 储备资金”的重要投入方向。 表 15:公司募集资金投资计划 项目名称 募集资金投资额 拟投入资金比例 12 英寸芯片 SN1 项目 80 亿元 40% 先进及成熟工艺研发项目储备资金 40 亿元 20% 补充流动资金 80 亿元 40% 资料来源:公司招股说明书、国海证券研究所 先进制程市场空间不断提升为项目未来保驾护航。集成电路产业是按“摩 尔定律”快速进步的产业,终端应用的不断升级和多样化推动着工艺技术的持续 进步。先进技术节点是未来集成电路晶圆代工行业发展的主要驱动力。14 纳米 及以下先进工艺主要应用于 5G、人工智能、智能驾驶、高速运算等新兴领域, 未来发展前景广阔。随着相关应用领域持续发展,先进工艺的市场需求将持续上 升,市场份额将不断扩大,成为集成电路晶圆代工市场新的增长点。 公司具备 14nm 以下先进工艺研发所需要的技术基础与资金实力。中国大 陆目前尚无企业具备 14nm 以下先进工艺的量产能力。公司已连续多年投入 FinFET 工艺技术研发,目前公司第一代 14nmFinFET 技术已进入量产阶段,与 第一代 FinFET 技术中的 14nm 相比较,公司预计第二代 FinFET 技术有望在性 请务必阅读正文后免责条款部分 36
37. 证券研究报告 能上提高约 20%,功耗降低约 60%。14nm 及以下先进工艺主要应用于 5G、人 工智能、智能驾驶、高速运算等新兴领域,未来发展前景广阔。随着相关应用领 域持续发展,先进工艺的市场需求将持续上升,市场份额将不断扩大,成为集成 电路晶圆代工市场新的增长点。 科创板上市融资能力进一步提升,巩固竞争优势。公司回归科创板上市将 拓宽融资渠道,提升融资能力,为先进制程研发和扩产保驾护航,有助于提升我 国芯片设计企业芯片供应的稳定性,推动半导体产业链快速发展。该次募集资金 投资项目有助于进一步拓宽公司主营业务,扩大先进工艺产能规模,提升公司在 晶圆代工行业的市场地位和核心竞争力;同时,募投项目的顺利实施将进一步增 强公司的研发实力,推动工艺技术水平升级换代与新产品推广,丰富成熟工艺技 术平台,更好地满足未来市场需求。 4、 盈利预测与投资建议 4.1、 关键假设 (1)下游需求景气度持续,国产代替顺利进行; (2)公司产能扩建、新制程工艺研发顺利进行; (3)公司毛利率处于相对稳定态势, 2020-2022 年公司毛利率分别为 27%、 26%、 26%。 4.2、 盈利预测与投资建议 预计公司 2020-2022 年实现营业收入分别为 264.68/312.50/360.48 亿元, 实现归母净利润分别为 20.27/26.39/30.96 亿元,对应 EPS 分别为 0.28 /0.37/0.43 元元/股,当前股价对应 PE 估值分别为 279/214/183 倍。我们认为晶 圆制造是中国半导体产业链实现自主可控的关键所在,中芯国际作为全球第五大、 中国大陆技术最先进、规模占优的晶圆代工企业,将充分受益于半导体产业链东 移和国产替代加速的大趋势。首次覆盖,给予“买入”评级。 表 16:中芯国际 2020-2022 营收和利润预测 预测指标 2019 2020E 2021E 2022E 主营收入(百万元) 22018 26468 31250 36048 增长率(%) -4% 20% 18% 15% 归母净利润(百万元) 1794 2027 2639 3096 增长率(%) 140% 13% 30% 17% 摊薄每股收益(元) 0.33 0.28 0.37 0.43 ROE(%) 2.52% 1.61% 2.07% 2.40% 资料来源:wind 一致预期,国海证券研究所 请务必阅读正文后免责条款部分 37
38. 证券研究报告 表 17:中芯国际可比公司估值 公司名称 股票代码 市值 (亿元) EPS 股价(元) PE 2019E 2020E 2021E 2019E 2020E 2021E 华虹半导体 1347.HK 353.70 27.35 0.97 0.7 0.89 28 39 31 华润微 002371.SZ 565.89 46.54 0.48 0.4 0.53 97 116 88 63 78 59 234 296 275 平均 中芯国际 688981.SH 5,499.33 77.06 0.33 0.26 0.28 资料来源:wind 一致预期,国海证券研究所(截至 2020 年 7 月 17 日) 5、 风险提示 1)关键设备受国际动荡环境影响难以正常购入风险; 2)14nm 制程产能爬坡不顺利风险; 3)低端产品行业竞争加剧风险; 4)贸易摩擦加剧影响下游市场需求风险; 5)募投项目进展不及预期风险。 请务必阅读正文后免责条款部分 38
39. 证券研究报告 附表:中芯国际盈利预测表 证券代码: 财务指标 股价: 688981.SH 2019 2020E 2021E 投资评级: 78.63 2022E 盈利能力 ROE 每股指标与估值 买入 日期: 2020-07-21 2019 2020E 2021E 2022E EPS 0.33 0.28 0.37 0.43 BVPS 7.99 13.81 14.12 14.49 每股指标 3% 2% 2% 2% 21% 27% 26% 26% 期间费率 6% 8% 8% 8% 估值 销售净利率 8% 8% 8% 9% P/E 240.58 278.78 214.09 182.52 P/B 9.90 5.73 5.61 5.46 P/S 19.60 21.35 18.08 15.67 利润表(百万元) 2019 2020E 2021E 2022E 毛利率 成长能力 收入增长率 -4% 20% 18% 15% 利润增长率 140% 13% 30% 17% 营运能力 总资产周转率 0.19 0.16 0.18 0.21 营业收入 22018 26468 31250 36048 应收账款周转率 6.50 6.64 6.64 6.64 营业成本 17431 19425 23039 26751 存货周转率 3.97 3.97 3.97 3.97 营业税金及附加 116 132 156 180 销售费用 182 212 250 288 偿债能力 资产负债率 38% 26% 26% 26% 管理费用 1518 1853 2188 2523 流动比 2.39 4.99 4.93 4.87 财务费用 (561) (160) (180) (220) 速动比 2.17 4.74 4.65 4.55 其他费用/(-收入) 1294 (3316) (3600) (3950) 营业利润 1432 1690 2197 2575 (5) (3) (1) 1 1427 1687 2196 2576 资产负债表(百万元) 2019 2020E 2021E 2022E 营业外净收支 37268 89153 88067 86794 利润总额 应收款项 3386 3988 4709 5432 所得税费用 存货净额 4390 4929 5846 6788 其他流动资产 2932 3486 4060 4636 流动资产合计 47977 101247 102372 103340 固定资产 36866 37600 38028 38596 在建工程 17060 17560 18060 18560 无形资产及其他 1864 1817 1770 长期股权投资 8223 8723 资产总计 114817 短期借款 应付款项 现金及现金等价物 158 186 242 283 净利润 1269 1502 1955 2293 少数股东损益 (525) (525) (684) (803) 归属于母公司净利润 1794 2027 2639 3096 现金流量表(百万元) 2019 2020E 2021E 2022E 1725 经营活动现金流 8140 4873 4975 5237 9223 9723 净利润 1269 1502 1955 2293 169774 172282 174771 少数股东权益 (525) (525) (684) (803) 698 698 698 698 折旧摊销 7109 5313 5417 5477 2176 2411 2860 3320 公允价值变动 195 50 50 50 营运资金变动 预收帐款 6 0 0 0 其他流动负债 17193 17193 17193 17193 投资活动现金流 流动负债合计 20074 20303 20751 21212 长期借款及应付债券 15483 15483 15483 15483 其他长期负债 8002 8302 8802 9002 长期负债合计 23485 23785 24285 负债合计 43558 44087 5451 股本 股东权益 负债和股东权益总计 94 (1925) (2660) (2701) (13553) (1733) (1429) (1567) 资本支出 (6738) (1233) (929) (1067) 长期投资 (460) (500) (500) (500) 其他 (6355) 0 0 0 24485 筹资活动现金流 57298 52926 (396) (464) 45036 45696 债务融资 (409) 0 0 0 7136 7136 7136 权益融资 7954 53230 0 0 71259 125687 127246 129074 其它 49752 (304) (396) (464) 114817 169774 172282 174771 现金净增加额 51884 56066 3150 3206 资料来源:Wind 资讯、国海证券研究所 请务必阅读正文后免责条款部分 39
40. 国海证券股份有限公司 【电子元器件组介绍】 吴吉森,电子行业首席分析师。武汉大学金融学硕士,4 年证券研究从业经验,2 年通信行业经验,专注于科技行 业投资机会挖掘以及研究策划工作。曾就职于中泰证券、新时代证券,2020 年 5 月加入国海证券,2018 年水晶球、 第一财经第一名研究团队核心成员,2019 年东方财富百强分析师电子行业第三名。 【分析师承诺】 吴吉森,本人具有中国证券业协会授予的证券投资咨询执业资格并注册为证券分析师,以勤勉的职业态度,独立、 客观地出具本报告。本报告清晰准确地反映了本人的研究观点。本人不曾因,不因,也将不会因本报告中的具体推 荐意见或观点而直接或间接收到任何形式的补偿。 【国海证券投资评级标准】 行业投资评级 推荐:行业基本面向好,行业指数领先沪深 300 指数; 中性:行业基本面稳定,行业指数跟随沪深 300 指数; 回避:行业基本面向淡,行业指数落后沪深 300 指数。 股票投资评级 买入:相对沪深 300 指数涨幅 20%以上; 增持:相对沪深 300 指数涨幅介于 10%~20%之间; 中性:相对沪深 300 指数涨幅介于-10%~10%之间; 卖出:相对沪深 300 指数跌幅 10%以上。 【免责声明】 本报告的风险等级定级为R3,仅供符合国海证券股份有限公司(简称“本公司” )投资者适当性管理要求的的客户 (简称“客户” )使用。本公司不会因接收人收到本报告而视其为客户。客户及/或投资者应当认识到有关本报告的 短信提示、电话推荐等只是研究观点的简要沟通,需以本公司的完整报告为准,本公司接受客户的后续问询。 本公司具有中国证监会许可的证券投资咨询业务资格。本报告中的信息均来源于公开资料及合法获得的相关内部外 部报告资料,本公司对这些信息的准确性及完整性不作任何保证,不保证其中的信息已做最新变更,也不保证相关 的建议不会发生任何变更。本报告所载的资料、意见及推测仅反映本公司于发布本报告当日的判断,本报告所指的 证券或投资标的的价格、价值及投资收入可能会波动。在不同时期,本公司可发出与本报告所载资料、意见及推测 不一致的报告。报告中的内容和意见仅供参考,在任何情况下,本报告中所表达的意见并不构成对所述证券买卖的 出价和征价。本公司及其本公司员工对使用本报告及其内容所引发的任何直接或间接损失概不负责。本公司或关联 机构可能会持有报告中所提到的公司所发行的证券头寸并进行交易,还可能为这些公司提供或争取提供投资银行、 财务顾问或者金融产品等服务。本公司在知晓范围内依法合规地履行披露义务。 【风险提示】 市场有风险,投资需谨慎。投资者不应将本报告为作出投资决策的唯一参考因素,亦不应认为本报告可以取代自己 的判断。在决定投资前,如有需要,投资者务必向本公司或其他专业人士咨询并谨慎决策。在任何情况下,本报告 中的信息或所表述的意见均不构成对任何人的投资建议。投资者务必注意,其据此做出的任何投资决策与本公司、 国海证券研究所 请务必阅读本页免责条款部分
41. 证券研究报告 本公司员工或者关联机构无关。 若本公司以外的其他机构(以下简称“该机构”)发送本报告,则由该机构独自为此发送行为负责。通过此途径获 得本报告的投资者应自行联系该机构以要求获悉更详细信息。本报告不构成本公司向该机构之客户提供的投资建议。 任何形式的分享证券投资收益或者分担证券投资损失的书面或口头承诺均为无效。本公司、本公司员工或者关联机 构亦不为该机构之客户因使用本报告或报告所载内容引起的任何损失承担任何责任。 【郑重声明】 本报告版权归国海证券所有。未经本公司的明确书面特别授权或协议约定,除法律规定的情况外,任何人不得对本 报告的任何内容进行发布、复制、编辑、改编、转载、播放、展示或以其他任何方式非法使用本报告的部分或者全 部内容,否则均构成对本公司版权的侵害,本公司有权依法追究其法律责任。 请务必阅读正文后免责条款部分 41

Home - Wiki
Copyright © 2011-2024 iteam. Current version is 2.129.0. UTC+08:00, 2024-07-02 13:49
浙ICP备14020137号-1 $Map of visitor$